#systemverilog# 之 浅析 DPI使用指南(一)

1,假设有三个文件:top.sv,dpi.h,dpi.cc
可以直接使用vcs -sverilog top.sv dpi.cc -R
但是当c代码或者sv代码都很多时,这样的操作就不合适了。


2,尝试文件列表的方式
vcs -sverilog -f filelist -R
$cat filelist
top.sv
dpi.h
dpi.cc
这样会出错,因为vcs的文件列表,不支持c代码
可以使用vcs -sverilog -f filelist dpi.cc -R
$cat filelist
top.sv


3,如果c代码和很多,那同样也会遇到cmd过长的问题,怎么解决?
解决办法:先编译c代码,shared属性;再编译sv/v代码。
g++ -Wall -m64 -g -fPIC your_cpp1.cpp your_cpp2.cpp -shared -o your_gen.so
vcs -debug_all -full64 -sverilog -R +define+your_define rtl_file.sv your_gen.so
可以写makefile来完成这些so的编译,而且不易出错。
 

1) First u need to generate your *.so file:
>> Example: g++ -Wall -m64 -g -fPIC your_cpp1.cpp your_cpp2.cpp -shared -o your_gen.so


2) VCS compile:
>> Example: vcs -debug_all -full64 -sverilog -R +define+your_define rtl_file.sv your_gen.so

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值