Modelsim仿真Xilinx工程时IP核不生效

        在做Xilinx的仿真时一直被IP核无法在Modelsim中生效的问题所困扰,即使在modelsim的do脚本中vlog了IP核的*_netlist.v仍然无效,导致很长一段时间做Xilinx的仿真一直使用Vivado自带的simulation工具。

        Vivado自带的工具在进行仿真时,有些低级错误无法给出有效提示,最简单的例如:

always @ (posedge i_clk) begin

        if (i_rst) begin

                r_time <= 8'd0;

        end

        else begin

                r_time <= r_time + 8'd1;;

        end

end

        以上代码中有两个“;”,这种错误在Vivado中做仿真时给不出有效提示,但是用Modelsim做仿真时却可以给出有效提示;

        另外Modelsim做仿真的速度属实还是要快很多。

        基于以上的优点,这次做新模块的功能开发,重新捡起Modelsim做仿真。

        言归正传,经过实践,通过在Vivado中的sources页面中,找到IP sources页面,然后找到对应IP核点击它的下拉框中的“Simulation”,可以发现这个IP核的仿真文件。仿真文件里面可以看到除了“*_netlist.v”文件,还有其他的文件。

        我这里简单粗暴的将“Simulation”下面的所有.v文件全部在do脚本中vlog了一遍,重新仿真波形正常。

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
使用ModelSim仿真IP核的步骤如下: 1. 首先,确保已经安装了ModelSim软件并且成功运行。 2. 在工程目录下创建一个新的文件夹(可以根据个人喜好命名),用于放置仿真相关的文件。 3. 打开ModelSim软件,点击菜单栏上的“File” -> “Change Directory”,选择之前创建的文件夹作为工作目录。 4. 在工作目录下创建一个新的VHDL或Verilog文件,用于编写仿真代码。可以使用文本编辑器编写代码,并保存为`.vhd`(对于VHDL)或`.v`(对于Verilog)格式。 5. 在编写代码,需要注意引入所需的IP核库文件。可以通过添加IP核的引用路径来实现。方法是点击菜单栏上的“Library” -> “Use” ->“Specify Search Libraries”,然后选择需要引用的IP核路径。 6. 在代码中实例化所需的IP核,并将其与其他逻辑电路进行连接。根据IP核的要求,设置其相应的输入和输出端口。 7. 编写仿真测试代码,用于对IP核进行功能验证。可以使用ModelSim提供的测试库函数来生成测试向量,并对设计进行仿真验证。 8. 完成编写代码后,点击ModelSim界面上的“Compile”或“Simulate”按钮,进行编译和仿真。 9. 在仿真结果窗口中,可以观察IP核的输入输出波形,以及其他相关信号的变化情况。可以通过设置仿真间和观察钟周期来控制仿真过程。 10. 通过观察仿真结果,可以验证IP核设计的正确性,并进行必要的调试和优化。 总结:使用ModelSim仿真IP核的过程中,需要创建工作目录,编写仿真代码,并引入所需的IP核库文件。然后进行编译和仿真,观察仿真结果,验证设计的正确性。根据需要进行调试和优化。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值