xilinx FPGA Clock IP 使用笔记

操作系统 : Windows 10 home basic

软件:vivado 2018.3

 

1.打开IP Catalog

FPGA Features and Design->Clocking->Clocking Wizard

(1)这里可以选择一下是使用MMCM还是PLL,然后往下拉设置输入频率

(2)设置输出频率

(3)这里要特别注意设置一下时钟模块的复位信号是低电平有效还是高电平有效,正常来说开发板上面的复位按键按下的时候复位引脚是低电平

(4)实例化

vga_clock vga_clk(
         // Clock in ports
          .clk_in1(sys_clk_in),      // input clk_in1
          // Clock out ports
          .clk_out1(pclk),     // output clk_out1
          // Status and control signals
          .resetn(sys_rst_n));

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值