【VCS编译】-v 不能随便加

VCS编译选项-v

在vcs文档中,-v选项的描述:
在这里插入图片描述
-v是将该文件设置为lib文件,我们design 文件中例化的module从lib中搜索。但是lib文件中的module中不能有例化其他文件的module,不然不能作为lib文件。

那么-v文件有什么作用呢?它的初衷是为了统一标准库module。比如一个乘法器叫mul,另外一个也叫mul,那么就会冲突,这个时候我们把一个mul的.v作为lib,那么我们design文件调用例化的时候就会从lib中调用。

遇到的问题

在调用一个标准库的时候,出现了以下错误:
在这里插入图片描述
原因:加了-v,文件中最后声明了几个 udp,并且udp在前面例化过,导致识别不到
解决的方法: 把-v去掉或者把udp放在文件最前面
在这里插入图片描述

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

二哈哈黄

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值