本文首发于公众号【木叶芯】,版权所有,禁止转载。
如需转载,请在评论区留言或私信申请,经同意后可转载,否则属于侵权行为。
作者昵称:城外南风起
————————————————
在进行fifo测试时,makefile中VCS和verdi部分代码:
VCS = vcs +v2k +vcs+lic+wait \
-full64 \
-sverilog \
-debug_pp \
-LDFLAGS \
-rdynamic \
-timescale=1ns/1ps \
-P $(VERDI_HOME)/share/PLI/VCS/LINUX64/novas.tab \
$(VERDI_HOME)/share/PLI/VCS/LINUX64/pli.a \
-Mupdate +notimingcheck +nospecify \
-o $(OUTPUT) \
-l ./base_fun/log/compile.log
verdi:
verdi \
-sv \
-f "rtl.f" \
-sverilog \
-ssf ./base_fun/wave/fifo_tb.fsdb \
-nologo
testbench中dump代码:
initial begin
$fsdbDumpfile("./base_fun/wave/fifo_tb.fsdb");
$fsdbDumpvars(0, fifo_tb);
end
我发现无法dump存储器里的数据,所以解决记录在此。
查看/opt/synopsys/verdi_2015/doc下的linking_dumping.pdf文档,需要加mda控制命令才能dump multidimensional array。相关内容如下:
修改testbench代码:
initial begin
$fsdbDumpfile("./base_fun/wave/fifo_tb.fsdb");
$fsdbDumpvars(0, fifo_tb, "+mda");
end
成功解决。
也可以直接使用fsdbDumpMDA命令。
参考:
[1] 赵中民的博客:fsdbdump多维数组
http://blog.sina.com.cn/s/blog_13f7886010102zgu5.html.
[2]TMC~McGrady:verdi dump命令详解
https://blog.csdn.net/weixin_45270982/article/details/114155823
[3]sh-sh的个人空间:fsdb dump 多维数组(memory) blog.eetop.cn/blog-850711-1610024.html
————————————————
感谢您的阅读,欢迎 点赞 / 评论 / 收藏 / 打赏 ~
我是城外南风起,欢迎关注我的公众号【木叶芯】。
木叶飞舞之处,火亦生生不息。