自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

城外南风起的博客

转载请注明出处,公众号:木叶芯

  • 博客(53)
  • 资源 (1)
  • 收藏
  • 关注

原创 对残差网络ResNet的理解与探讨

此博客为个人博客,不涉及商业用途,仅提供学习参考,内容均来自个人原创以及互联网转载和摘录。此博客上带有原创标识的文章、图片、文件等,未经本人允许,不得用于商业用途以及传统媒体。本文首发于CSDN,其他网站均为转载。网络媒体或个人转载请注明出处和链接,否则属于侵权行为。原博客链接:https://blog.csdn.net/qq_38305370原博主昵称:城外南风起————————————————目录Is learning better networks as easy as stacking

2021-01-26 21:56:16 542

原创 几种下载高质量AD元器件原理图和封装的方法

此博客为个人博客,不涉及商业用途,仅提供学习参考,内容均来自个人原创以及互联网转载和摘录。此博客上带有原创标识的文章、图片、文件等,未经本人允许,不得用于商业用途以及传统媒体。网络媒体或个人转载请注明出处和链接,否则属于侵权行为。————————————————最近刚接触硬件,发现自己画封装实在是耗时耗力,还担心画错。这里给朋友们推荐一些下(bai)载(piao)高质量AD封装的方法。目录...

2020-05-01 09:34:33 6128 2

原创 超棒的AD原理图导入word攻略

此博客为个人博客,不涉及商业用途,仅提供学习参考,内容均来自个人原创以及互联网转载和摘录。此博客上带有原创标识的文章、图片、文件等,未经本人允许,不得用于商业用途以及传统媒体。网络媒体或个人转载请注明出处和链接,否则属于侵权行为。————————————————最近在写毕业设计论文,需要将画好的AD原理图导入word中(因为是学校给的模版,所以没有用LaTex中)。直接截图清晰度得不到保证,...

2020-04-30 20:27:36 11293 2

原创 BT级别的IC项目验证基本流程

本文首发于公众号【木叶芯】,版权所有,禁止转载。如需转载,请在评论区留言或私信申请,经同意后可转载,否则属于侵权行为。作者昵称:城外南风起原文链接:BT级别的IC项目验证基本流程————————————————芯片验证按项目规模可分为:BT(Block Test)、IT(Integrated Test)、ST(System Test)。本文仅针对BT级别的项目验证流程进行简单总结。流程如下:作为方案书的一部分:分解测试点,给出测试点表单。个人认为,这部分是验证工程师的核心工作所在。需要谨

2021-11-17 11:35:36 1828

原创 【经验分享】研一ICer如何拿到海思数字IC实习offer

本文首发于公众号【木叶芯】,版权所有,禁止转载。如需转载,请在评论区留言或私信申请,经同意后可转载,否则属于侵权行为。作者昵称:城外南风起原文链接:【经验分享】研一IC小白如何拿到海思数字芯片岗实习offer————————————————目录基本信息项目经历机试性格测评和保密协议面试一面(技术面)自我介绍问答二面(主管面)自我介绍问答时间节点tips参考写在最后细心的朋友可能发现,公众号有两个多月没有更新,原因是我去华为海思实习了,我将在本文中介绍我是如何在研一拿到海思数字IC实习offer的

2021-11-17 11:32:58 1401

原创 【详细教程】linux中安装tcl

本文首发于公众号【木叶芯】,版权所有,禁止转载。如需转载,请在评论区留言或私信申请,经同意后可转载,否则属于侵权行为。作者昵称:城外南风起原文链接:————————————————TCL 全称 「 Tool Command Language 」,是一门脚本语言。TCL学习成本低,功能强大,常用于数字IC设计。本文介绍如何在linux环境中安装TCL。1.下载安装包:网址(具体版本号可以自行更换):https://sourceforge.net/projects/tcl/files/Tcl/8.

2021-10-17 14:10:08 4215 1

原创 VCS与verdi联合仿真:读取数据文件

本文首发于公众号【木叶芯】,版权所有,禁止转载。如需转载,请在评论区留言或私信申请,经同意后可转载,否则属于侵权行为。作者昵称:城外南风起原文链接:VCS与verdi联合仿真:读取数据文件————————————————在写项目的testbench时,需要将信号的数据文件读入。系统函数$readmemh/readmemb已经为我们提供了这个功能。readmemh是读取十六进制的数据。reg [DATASIZE - 1:0] mem[0 : DEPTH - 1];init

2021-10-10 22:03:33 1000 1

原创 VCS与verdi联合仿真:dump多维数组

本文首发于公众号【木叶芯】,版权所有,禁止转载。如需转载,请在评论区留言或私信申请,经同意后可转载,否则属于侵权行为。作者昵称:城外南风起原文链接:VCS与verdi联合仿真:dump多维数组————————————————在进行fifo测试时,makefile中VCS和verdi部分代码:VCS = vcs +v2k +vcs+lic+wait \ -full64 \ -sverilog \ -debug_pp

2021-10-07 14:10:14 4209

转载 如何修改linux主机名称

本文转自zhangshengdong,原文链接:http://blog.chinaunix.net/uid-26446098-id-3347166.html————————————————查看主机名命令:hostname1、通过hostname命令。命令格式:hostname newhostname此命令的作用是暂时的修改linux的主机名,它的存活时间linux当前的运行时间,即在重启前的运行时间内。一般修改以后就生效,但是不能永久修改。2、 通过配置文件/etc/sysconfig/n

2021-09-24 16:11:58 3652

转载 `uvm_do_with中constraint不生效的原因及解决方案

本文转自gsithxy,原文链接:https://blog.csdn.net/gsjthxy/article/details/107220093————————————————实习做虚拟项目的验证部分时,出现了`uvm_do_with宏中同名约束对底层sequence失效的情况,使用this也无效,查到这篇博客,解决了我的问题,感谢,转载记录于此。———————————————— `uvm_do_with中constraint不生效的原因及解决方案       &n

2021-08-17 22:02:18 2852

原创 【论文精读】浅谈脉动阵列

论文来源H.-T. Kung, “Why systolic architectures?,” IEEE computer,vol. 15, no. 1, pp. 37–46, 1982.目录文章目录论文来源目录为什么要引入脉动阵列脉动阵列的基本原理基本定义计算任务分类基本框架脉动阵列的具体设计with global data communicationDesign B1Design B2Design Fwithout global data communicationDesign R1Design R

2021-05-27 14:05:53 1127 1

原创 【硬核手写笔记】定点数与浮点数:来算一遍啥都明白了

文章目录区别浮点数的特点定点数的特点浮点数、定点数计算举例参考区别浮点数:小数点不固定的数,如float,double。定点数:小数点固定。如int,其小数点位于数的最后位。浮点数的特点浮点数与int,char这些无符号整数的表示逻辑完全不一致。浮点数不能移位。因为各个位处于不同的字段,有不同的意义。定点数的特点小数位数越多,数的精度越高。若小数点后有n位,则精度为1/(2^n)。整数位数越多,可表示的最大值越大。浮点数、定点数计算举例参考1.@鸟恋旧林XD 浮点数和定

2021-05-21 11:49:12 443

原创 跨时钟域传输的黄金搭档:异步FIFO与格雷码

本文首发于公众号【木叶芯】,版权所有,禁止转载。如需转载,请在评论区留言或私信申请,经同意后可转载,否则属于侵权行为。作者昵称:城外南风起原文链接:跨时钟域传输的黄金搭档:异步FIFO与格雷码————————————————异步FIFO里为什么要用格雷码? 技术面被问到这个问题。最初学习信号跨时钟域传输的时候,只是想当然地认为多比特信号在跨时钟域传输时发生错误的概率肯定比单比特大,所以才会采用格雷码,保证每次只有一位信号变化,但没有深入追究这个问题。在面试官的不断追问下,我就被问住了。面完以后

2021-05-08 22:12:41 1550 1

原创 verilog逻辑移位与算术移位的区别(有仿真)

verilog中,逻辑移位与算术移位的右移符号分别为“>>”和“>>>”,左移同理。两者的区别在于:逻辑移位不考虑符号位,左移和右移都只补零;算术移位考虑符号位,左移补零,右移补符号位。仿真代码:module test(); wire [31:0] din,dout1,dout2,dout3; assign din = 32'hFF00ABC4; assign dout1 = (din<<2)>>4; assign d

2021-05-07 22:36:25 2031

转载 FPGA的BRAM和distributed RAM学习

xilinx spartan3an系列fpga:一个CLB包含4个SLICE,其中左边两个SLICE可用于存储、移位寄存器和逻辑配置,称为SLICEM;右边的两个SLICE只能用于逻辑组合,称为SLICEL。每个SLICE中有2个LUT,2个存储单元,多路复用器,进位链等。一个LUT和一个存储单元称为一个LOGIC CELL,因此通常一个SLICE等效于2.25个LOGIC CELL。1、bram 的输出需要时钟,dram在给出地址后既可输出数据。2、bram有较大的存储空间,是fpga定制的ram资

2021-05-07 18:57:45 1969

原创 浅谈噪声容限并举例

本文首发于公众号【木叶芯】,版权所有,禁止转载。如需转载,请在评论区留言或私信申请,经同意后可转载,否则属于侵权行为。作者昵称:城外南风起原文链接:浅谈噪声容限并举例————————————————目录低电平噪声容限高电平噪声容限参考文献逻辑电平1和0是对某一电平值的抽象。当电平值为VDD(例如,VDD=5V)时,它被认为是逻辑1。类似地,当电压为0v,则认为是逻辑0。然而,在现实应用中,我们会针对不同的逻辑电平给出一定的电压范围,例如,3.5-5V之间的电平值都可以被认为代表的是逻辑1,而在0

2021-05-06 22:25:50 2084

原创 testbench编写流程的简单举例

本文首发于公众号【木叶芯】,版权所有,禁止转载。如需转载,请在评论区留言或私信申请,经同意后可转载,否则属于侵权行为。作者昵称:城外南风起原文链接:testbench编写流程的简单举例————————————————目录顶层结构生成clk_tb生成resetb初始化变量DUT例化写任务读任务测试实现仿真结果参考文献顶层结构将模块device_regs_withfunction作为DUT编写一个简单的testbench。该模块的功能是对一组寄存器进行读写操作。下图为测试平台的顶层结构。任务reg

2021-05-04 22:37:43 1279

原创 四步教你在CSDN上快速转载CSDN和博客园的文章

此博客为个人博客,不涉及商业用途,仅提供学习参考,内容均来自个人原创以及互联网转载和摘录。此博客上带有原创标识的文章、图片、文件等,未经本人允许,不得用于商业用途以及传统媒体。网络媒体或个人转载请注明出处和链接,否则属于侵权行为。————————————————目录在CSDN上快速转载CSDN的文章在CSDN上快速转载博客园的文章在CSDN上快速转载CSDN的文章1.在目标文章网页按F12;2.按Ctrl+F启用搜索,搜索article_content;3.找到所在的div右键单击,选择Cop

2021-04-18 16:27:06 316

转载 韩松毕业论文笔记-第六章-EFFICIENT METHODS AND HARDWARE FOR DEEP LEARNING

      难得跟了一次热点,从看到论文到现在已经过了快三周了,又安排了其他方向,觉得再不写又像之前读过的N多篇一样被遗忘在角落,还是先写吧,虽然有些地方还没琢磨透,但是paper总是这样吧,毕竟没有亲手实现一下,光是看永远无法理解透彻,然后又去忙别的工作,看过的都打了水漂。第六章 EIE-用于稀疏神经网络的高效推理引擎      目测和发在ISCA2016的论文EIE: Efficient Infer.

2021-04-18 16:01:27 225

原创 三步教你Word启用所有宏

此博客为个人博客,不涉及商业用途,仅提供学习参考,内容均来自个人原创以及互联网转载和摘录。此博客上带有原创标识的文章、图片、文件等,未经本人允许,不得用于商业用途以及传统媒体。网络媒体或个人转载请注明出处和链接,否则属于侵权行为。————————————————1.点击左上角文件,再点击选项2.点击信任中心,点击信任中心设置3.点击宏设置,点击启用所有宏,点击确定,成功。————————————————感谢您的阅读,如果您有收获,请给我一个三连吧!如果您觉得这还不够,可以点击右侧的 打

2021-04-15 20:59:53 7903

原创 Git使用总结青春版

此博客为个人博客,不涉及商业用途,仅提供学习参考,内容均来自个人原创以及互联网转载和摘录。此博客上带有原创标识的文章、图片、文件等,未经本人允许,不得用于商业用途以及传统媒体。本文首发于CSDN,版权所有,禁止转载。如需转载,请在评论区留言或私信申请,经同意后可转载,否则属于侵权行为。原博客链接:https://blog.csdn.net/qq_38305370原博主昵称:城外南风起————————————————目录ssh配置存档参考ssh配置Git安装完之后,需做以下配置:1.连续执

2021-04-01 21:08:24 93

原创 Reset synchronizer实现同步复位异步释放

此博客为个人博客,不涉及商业用途,仅提供学习参考,内容均来自个人原创以及互联网转载和摘录。此博客上带有原创标识的文章、图片、文件等,未经本人允许,不得用于商业用途以及传统媒体。本文首发于CSDN,版权所有,禁止转载。如需转载,请在评论区留言或私信申请,经同意后可转载,否则属于侵权行为。原博客链接:https://blog.csdn.net/qq_38305370原博主昵称:城外南风起————————————————Reset synchronizer可以实现异步复位同步释放。这种复位电路可以保

2021-03-28 16:22:50 1373

原创 Vivado【已解决】[Synth 8-462] no clock signal specified in event control

此博客为个人博客,不涉及商业用途,仅提供学习参考,内容均来自个人原创以及互联网转载和摘录。此博客上带有原创标识的文章、图片、文件等,未经本人允许,不得用于商业用途以及传统媒体。本文首发于CSDN,版权所有,禁止转载。如需转载,请在评论区留言或私信申请,经同意后可转载,否则属于侵权行为。————————————————写了一个异步fifo的工程,在Vivado上利用testbench仿真通过以后,想要查看RTL电路,结果出现了以下错误:[Synth 8-462] no clock signal s

2021-03-27 17:10:21 3987

原创 三步教你实现Sublime与Vivado编码兼容

此博客为个人博客,不涉及商业用途,仅提供学习参考,内容均来自个人原创以及互联网转载和摘录。此博客上带有原创标识的文章、图片、文件等,未经本人允许,不得用于商业用途以及传统媒体。网络媒体或个人转载请注明出处和链接,否则属于侵权行为。————————————————1.在sublime中安装package:ConvertToUTF8;2.新建文件后,按ctrl+shift+p打开命令面板,输入utf点击ConvertToUTF8使用;3.选择Chinese Simplified(GB2312)作为文

2021-03-27 16:28:24 786

原创 verilog实现串行通讯协议(serial communications protocols)

目录Serial receiverSerial receiver原题目:In many (older) serial communications protocols, each data byte is sent along with a start bit and a stop bit, to help the receiver delimit bytes from the stream of bits. One common scheme is to use one start bit (0),

2021-03-22 14:11:21 1018

原创 verilog实现格雷码(Gray Code)与二进制编码转换

此博客为个人博客,不涉及商业用途,仅提供学习参考,内容均来自个人原创以及互联网转载和摘录。此博客上带有原创标识的文章、图片、文件等,未经本人允许,不得用于商业用途以及传统媒体。本文首发于CSDN,版权所有,禁止转载。如需转载,请在评论区留言或私信申请,经同意后可转载,否则属于侵权行为。原博客链接:https://blog.csdn.net/qq_38305370原博主昵称:城外南风起————————————————目录二进制码转格雷码格雷码转二进制码testbench仿真波形参考文献格雷码(

2021-03-21 21:36:27 3777 2

原创 verilog实现鼠标协议PS/2 mouse protocol

原题目:The PS/2 mouse protocol sends messages that are three bytes long. However, within a continuous byte stream, it’s not obvious where messages start and end. The only indication is that the first byte of each three byte message always has bit[3]=1 (but b

2021-03-15 21:22:32 1105

原创 Ubuntu中搭建MIPS编译环境

此博客为个人博客,不涉及商业用途,仅提供学习参考,内容均来自个人原创以及互联网转载和摘录。此博客上带有原创标识的文章、图片、文件等,未经本人允许,不得用于商业用途以及传统媒体。本文首发于CSDN,其他网站均为转载。网络媒体或个人转载请注明出处和链接,否则属于侵权行为。原博客链接:https://blog.csdn.net/qq_38305370原博主昵称:城外南风起————————————————目录安装GNU工具链编译链接得到ROM初始化文件编写Makefile文件参考安装GNU工具链输入

2021-03-11 21:04:09 1654

原创 MIPS处理器中的偏见:R型指令与I型指令

MIPS指令分为R型、I型和J型,这里不讨论J型(后面可能会补)。R型字段:

2021-03-06 15:43:03 7620 1

原创 verilog实现Lemmings(疯狂小旅鼠)游戏

此博客为个人博客,不涉及商业用途,仅提供学习参考,内容均来自个人原创以及互联网转载和摘录。此博客上带有原创标识的文章、图片、文件等,未经本人允许,不得用于商业用途以及传统媒体。本文首发于CSDN,其他网站均为转载。网络媒体或个人转载请注明出处和链接,否则属于侵权行为。原博客链接:https://blog.csdn.net/qq_38305370原博主昵称:城外南风起————————————————目录Lemmings1Lemmings2Lemmings3Lemmings1原题目(波形demo

2021-03-01 15:43:22 894

原创 为什么RISC-V中需要恒零寄存器?

此博客为个人博客,不涉及商业用途,仅提供学习参考,内容均来自个人原创以及互联网转载和摘录。此博客上带有原创标识的文章、图片、文件等,未经本人允许,不得用于商业用途以及传统媒体。本文首发于CSDN,其他网站均为转载。网络媒体或个人转载请注明出处和链接,否则属于侵权行为。原博客链接:https://blog.csdn.net/qq_38305370原博主昵称:城外南风起————————————————硬件设计中有一个原则:加速执行常用操作。常数0在指令中大量使用,可以简化指令系统体系结构,例如, 数

2021-02-28 14:49:38 1295 2

原创 为什么MIPS处理器的数据通路中需要符号扩展单元和左移2位?

此博客为个人博客,不涉及商业用途,仅提供学习参考,内容均来自个人原创以及互联网转载和摘录。此博客上带有原创标识的文章、图片、文件等,未经本人允许,不得用于商业用途以及传统媒体。本文首发于CSDN,其他网站均为转载。网络媒体或个人转载请注明出处和链接,否则属于侵权行为。原博客链接:https://blog.csdn.net/qq_38305370原博主昵称:城外南风起————————————————为什么MIPS处理器的数据通路中需要符号扩展单元和左移2位?符号扩展单元考虑MIPS的存取指令,

2021-02-04 20:28:37 3572

原创 PCB绘制:利用低功耗蓝牙传输脑电信号

此博客为个人博客,不涉及商业用途,仅提供学习参考,内容均来自个人原创以及互联网转载和摘录。此博客上带有原创标识的文章、图片、文件等,未经本人允许,不得用于商业用途以及传统媒体。本文首发于CSDN,其他网站均为转载。网络媒体或个人转载请注明出处和链接,否则属于侵权行为。原博客链接:https://blog.csdn.net/qq_38305370原博主昵称:城外南风起————————————————来源毕设课题,第一次制作四层板,记录一下。软件:Altium Designer设计考虑如下:①

2021-01-24 13:01:44 474

原创 BLE理论基础:协议栈、角色分类

此博客为个人博客,不涉及商业用途,仅提供学习参考,内容均来自个人原创以及互联网转载和摘录。此博客上带有原创标识的文章、图片、文件等,未经本人允许,不得用于商业用途以及传统媒体。本文首发于CSDN,其他网站均为转载。网络媒体或个人转载请注明出处和链接,否则属于侵权行为。原博客链接:https://blog.csdn.net/qq_38305370原博主昵称:城外南风起————————————————BLE理论基础1 BLE协议栈2 BLE角色分类从蓝牙4.0开始,蓝牙核心规范中适时更新了关于低功

2021-01-23 19:56:03 484 1

原创 脑电采集理论基础:脑电信号采集方式、分类及特点、伪迹、导联

此博客为个人博客,不涉及商业用途,仅提供学习参考,内容均来自个人原创以及互联网转载和摘录。此博客上带有原创标识的文章、图片、文件等,未经本人允许,不得用于商业用途以及传统媒体。本文首发于CSDN,其他网站均为转载。网络媒体或个人转载请注明出处和链接,否则属于侵权行为。原博客链接:https://blog.csdn.net/qq_38305370原博主昵称:城外南风起————————————————脑电采集理论基础1 大脑信号的采集方式1.1侵入式技术1.2非侵入式技术2 脑电信号的分类与特点3

2021-01-22 17:50:28 12647

原创 verilog实现简单状态机:输出保持时的latch陷阱

此博客为个人博客,不涉及商业用途,仅提供学习参考,内容均来自个人原创以及互联网转载和摘录。此博客上带有原创标识的文章、图片、文件等,未经本人允许,不得用于商业用途以及传统媒体。网络媒体或个人转载请注明出处和链接,否则属于侵权行为。————————————————这是一个简单的摩尔状态机(Moore state machine),有两个状态,一个输入,一个输出。异步复位,复位状态为B,当输入in置高时,保持当前状态。我第一次的实现代码:module top_module( input cl

2021-01-18 16:40:50 1196 1

原创 verilog实现Conwaylife二维元胞自动机(cellular automaton)

此博客为个人博客,不涉及商业用途,仅提供学习参考,内容均来自个人原创以及互联网转载和摘录。此博客上带有原创标识的文章、图片、文件等,未经本人允许,不得用于商业用途以及传统媒体。网络媒体或个人转载请注明出处和链接,否则属于侵权行为。————————————————最近在做HDLBits,做到Conwaylife,觉得还挺有意思的,记录一下。Conwaylife是要用verilog实现一种二维元胞自动机(cellular automaton)。我的实现步骤:1.由于矩阵需要toroid,对初始数据进

2021-01-06 16:31:18 1097

原创 verilog实现双边沿触发器Dual-edge triggered flip-flop

此博客为个人博客,不涉及商业用途,仅提供学习参考,内容均来自个人原创以及互联网转载和摘录。此博客上带有原创标识的文章、图片、文件等,未经本人允许,不得用于商业用途以及传统媒体。网络媒体或个人转载请注明出处和链接,否则属于侵权行为。————————————————最近在做HDLBits,做到双边沿触发器,觉得还挺有意思的,记录一下。verilog不支持同时触发上边沿和下边沿,因为FPGA中只有单边沿触发器,没有双边沿触发器这种器件。所以,posedge clk or negedge clk是无法综合

2020-12-18 21:16:03 5759 1

原创 混合信号建模基础

此博客为个人博客,不涉及商业用途,仅提供学习参考,内容均来自个人原创以及互联网转载和摘录。此博客上带有原创标识的文章、图片、文件等,未经本人允许,不得用于商业用途以及传统媒体。网络媒体或个人转载请注明出处和链接,否则属于侵权行为。————————————————Mixed signal modeling目录1.数模只能互读,不能互写2.always块中可使用模拟命令和模拟事件3.analog块中可使用数字命令和数字事件4.根据输出信号类型选择always或analog1.数模只能互读,不能互写2

2020-11-28 19:32:57 234

转载 【verilog 语法】always 和 always@(*) 的区别

本文转自寒泉Hq,原文链接:https://blog.csdn.net/sinat_42483341/article/details/89031964,转载时请注明出处及相应链接。————————————————always@后面内容是敏感变量,always@(*)里面的敏感变量为*,意思是说敏感变量由综合器根据always里面的输入变量自动添加,不用自己考虑。 always 如果没有@,那就是不会满足特定条件才执行,而是执行完一次后立马执行下一次,一直重复执行 比如,testbench里面产生5

2020-11-20 16:31:19 952

ADS1299封装.zip

ADS1299 Altium Designer封装,包含原理图库和pcb库,pcb库包含L、M和标准版本。

2020-04-15

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除