FPGA学习--异步复位,同步释放

提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档


前言

在做ddr读写模块的开发的时候,经常出现时序不满足的情况。时序报告中指出是一个复位信号导致,由于之前一直使用同步复位的方法,但是同步复位会在FPGA中占用较多资源,于是使用异步复位,但是在ddr模块较为高速的情况下,异步复位容易造成亚稳态,最后采用异步复位,同步释放的方式

一、异步复位,同步释放是什么?

即复位是异步的,释放信号是同步的,异步复位占用较少的逻辑资源,同步释放可以解决亚稳态的问题

二、具体实现

异步复位同步释放

reg rst_sync_n_r0;
reg rst_sync_n_r1;
wire rst_sync_n;
always@(posedge clk or negedge rst_async_n)
begin
	if (!rst_async_n) begin
		rst_sync_n_r0 <= 1'b0;
		rst_sync_n_r1 <= 1'b0;
	end
	else begin
		rst_sync_n_r0  <= 1'b1;
		rst_sync_n_r1  <= rst_sync_n_r0 ;
	end
end
assign rst_sync_n = rst_sync_n_r1;

最后rst_sync_n输出到其他模块作为异步复位信号

参考

https://blog.csdn.net/wordwarwordwar/article/details/79889725

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

一匹狼呀

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值