fifo学习日志

fifo
标准模式和FWFT模式
当选择Standard模式的时候,在读使能信号有效的下一个周期才能读出第一个有效的数据。
当选择FWFT模式的时候,在读使能信号有效的第一个周期就能能读出第一个有效的数据;这是因为在这种模式下,FIFO提前把数据已经准备到了数据输出总线上,等待都使能有效就输出到数据输出端口(组合逻辑),但在这种模式下,valid信号将会在复位后就保持有效。

fifo读写计数器
(1)rd_data_count和wr_data_count也只是个大概值,并不完全准确。rd_data_count小于等于实际上fifo中的数,以免没数据的时候进行数据读取,相反,wr_data_count大于等于实际上fifo中数,以免溢出。
(2)写入写接口的数据要经过一些周期才能在读接口中被读出。
(3)还有一点需要重点强调的就是,rd_data_count/wr_data_count的值不是fifo中数据多少个字节,而是多少笔。

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值