FPGA学习第十天

本文介绍了FPGA中的FIFO IP核,包括同步FIFO和异步FIFO的概念及其应用场景。FIFO主要用于数据缓存和跨时钟域的数据传递。在使用FIFO时,通过外部读写模块和full/empty信号控制读写使能。作者分享了在设计异步FIFO时遇到的时钟问题及解决办法,并规划了后续将学习RS485串口和相关FPGA论文,以加深对实际应用的理解。
摘要由CSDN通过智能技术生成

FIFO IP核

FIFO主要分为同步FIFO和异步FIFO,顾名思义,通过读写时钟是否一致进行划分。同步 FIFO常用于同步时钟的数据缓存, 异步 FIFO常用于跨时钟域的数据信号传递,如当程序产生数据较快,来不及处理,就按照一个较快的时钟存储在FIFO中,然后再通过正常的时钟进行处理。本质上FIFO和RAM一样都是进行存储数据,只是RAM通过地址进行存储和处理,而FIFO是先进先出的方式。
个人理解的FIFO使用方法,就是在IP核的基础在,写好外部写和读模块,只需要控制好根据full和empty信号,进行读写使能,写模块中收到的数据会自动存储在FIFO中,读模块中的输出数据也会从FIFO中自动读出。

RTL代码

本来是准备设计一个异步FIFO,通过不同时钟进行控制FIFO读写速率不同,通过PLL生成两个不同频率的时钟作为读写的时钟,RTL代码如下:

module top(input clk,
input rst
    );
 wire wr_en;
 wire rd_en;
 wire [7:0] din;
 wire [7:0] dout;
 wire full;
 wire empty;
 wire clk_out1;
 wire clk_out2;
 wire locked;
 wire [7:0] rd_data_count;
 wire [7:0] wr_data_count;
    fifo_generator_0 u0 (
  .wr_clk(clk),                // input wire wr_clk
  .rd_clk(clk),                // input wire rd_clk
  .din(din),                      // input wire [7 : 0] din
  .wr_en(wr_en),                  // input wire wr_en
  .rd_en
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值