【Verilog】Verilog关键字和标识符

【Verilog】Verilog关键字和标识符

第二章 Verilog关键字和标识符



目标

1.描述在Verilog环境中找到的关键字
2.描述在Verilog环境中找到的标识符


一、关键字与标识符

  1. 关键字

关键字关键字是为语言结构保留的标识符。关键字必须小写。如您所见,这里显示的关键字是module、endmodule、input和output等。标识符标识符是赋予对象的名称,以便在整个设计描述中引用它们。

  1. 标识符

标识符必须以字母或下划线开头。它们可以是字母、数字、美元符号或下划线字符的任意序列。如您所见,完整的add、a、b、c in等都是这里显示的标识符。
标识符必须以字母或下划线开头。它们可以是字母、数字、美元符号或下划线字符的任意序列。

二、注释

注释只是代码中为用户提供的附加信息。它们提高了模块的可读性和可重用性。

  • 单行注释可以通过在行首插入双斜杠来添加单行注释,如下所示。

  • 多行注释可以使用斜杠和星号字符(表示注释的开始)以及星号和斜杠字符(表示注释的结束)添加多行注释,如下所示。

  • Meta-Commenting大多数编译器使用元注释,其中,在单行或多行注释标识符后面的字符串实际上由工具解析、解释和执行。
    在这种环境中,它会提醒编译器注释字段的其余部分包含实际的指令、约束或指令。


总结

1.所有Verilog关键字必须是小写的
2.标识符是赋予对象的名称
3.插入有意义的注释可以增强源代码的可读性、可理解性和可重用性

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

你的信号里没有噪声

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值