【FPGA学习】(一)Sublime_text3搭建fpga开发环境

为什么使用Sublime?

答:因为Vivado自带编辑器字体过小、编写修改不便、容易眼瞎

        而Sublime界面美观、使用简单、功能强大,支持安装各种插件

搭建步骤:

1、官网上自己下载安装sublime Text3

2 、安装iverilog软件,里面包含了sublime Text3接口,可以检查verilog文件代码语法错误

        注意:把iverilog环境变量添加到用户环境变量,即  F:\fpga_tools\iverilog\bin和F:\fpga_tools\iverilog\gtkwave\bin添加到PATH中去,最后可以在cmd命令窗口输入iverilog命令检查是否安装成功。

3、Sublime Text 3修改安装插件位置

      在享受Sublime 插件给我们带来开发效率的同时,有些插件的文件也是很大的,但是插件默认        安装的位置是AppData的目录【C:\Users\用户名\AppData\Roaming\Sublime Text       3\Packages】,也就是在C盘。

            对于一个不喜欢把东西都放在C盘的人来说,能修改的路径的话肯定是会倒腾倒腾一下修        改的。关闭Sublime,找到它的安装路径,新建一个Data的文件夹,把C盘目录下的Packages        文件夹删除掉,再重新打开Sublime ,References-> Browser Packages 就发现打开的文件夹        就是我们新建的Data文件下的Packages了,再安装插件的时候就都会安装在这个路径下。

       在这里我遇到一个问题:更改安装插件位置后,安装Sublime​Linter-contrib-iverilog插件后却不生效,很奇怪,所以我后来还是把插件安装目录改回放到C盘默认位置了。

        注意:要把下载下来的插件包放在【C:\Users\用户名\AppData\Roaming\Sublime Text 3】下,刚开始放在【C:\Users\用户名\AppData\Roaming\Sublime Text 3\Packages】总是报错。

4、Sublime Text 3安装必要插件

      配置verilog要用到的所有插件包我都下载下来了,省的一个一个的下载,整理后的文件放在:

      链接:搭建FPGA环境要用到的安装包、破解文件及所有插件

      其中Packages和installed Packages文件一起放在步骤3说明的位置。

      其中另外还有iverilog-setup和Sublime-setup是安装包。

      其中Patch.exe是Sublime Text3的破解包,要放在Sublime Text3安装目录下。

      手动安装插件方法:

    (1) 安装Package Control:打开sublime,使用快捷键“ctrl+shift+p”,在弹出的框内输入“install package control”,单击install package control。

    (2)安装汉化包ChineseLocalizations    

    (3)安装verilog插件:使用快捷键“ctrl+shift+p”和输入“install package”进入到install package中,输入“Verilog”,单击安装即可。

    (4)安装ConvertToUTF8:为避免打开文件后,文件里的中文出现乱码,在“install package”中搜索“ConvertToUTF8”,这个插件可以将其他编码格式的文件以UTF8打开,可以有效地解决中文注释的显示问题。

     (5)安装Snippet Maker

        使用方式:

        该插件的具体使用方式为:

        (1)选中一段代码片段,比如选择always块

        (2)使用快捷键“ctrl + shift + p”进入控制台并输入“make snippet”进行代码片段的生成;

        (3)在界面下方的Trigger框中输入触发命令并回车(即之后输入该命令即可调出该代码片                     段),比如为al

        (4)在界面下方的Description框中输入该代码片段的描述并回车,比如为alwaysblock

        (5)在界面下方的Scope框中输入“source.verilog”并回车(即在Verilog下触发该片段);

        (6)在界面下方的File Name框中输入该代码片段的保存名称并回车(注意需要以sublime-                     snippet结尾),比如al.sublime-snippet

5、关联vivado

        前面的一些插件基本可以满足Verilog编写使用了,但是既然作为普通的FPGA开发者,自然而然的希望在开发平台上直接点击模块就进入到Sublime的编辑界面,直接干活。

打开vivado,Tools->Settings->Text Editor->Custom Editor

然后在Custom Editor中的Editor框中输入:"sublime.exe的路径" [file name]:[line number]

例如:例如:C:/Program Files/Sublime Text 3/sublime_text.exe [file name]:[line number]

      

  • 1
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

洲洲不是州州

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值