wire类型和reg类型的使用问题

wire和reg是VerilogHDL中两种常用的信号数据类型,对于如何使用这两种数据,笔者在初学时也迷惑了好一阵。下面简要讲述一下wire型和reg型数据的使用问题。
首先我面需要明确,reg为寄存器数据,wire为连线型数据。
在程序设计中,正确的使用wire和reg需要牢记以下几点:
1)连续赋值语句(assign)只能使用wire类型;
2)在过程语句块(initial,always)中,只能对reg数据进行赋值;
3)结构化描述时,模块的输出信号只能使用wire;
4)在定义模块的端口时,默认为wire类型,如果输出是reg,则需要重新定义;

以下举几个例子加以说明:

module exp1(a,b,c,y);
	input a,b,c;
	output y
  • 2
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值