差分时钟信号的使用与引脚绑定(ucf)

1.在模块中例化IBUFDS令差分时钟转化为单点时钟

IBUFDS #(
	.DIFF_TERM("TRUE"),       // Differential Termination
	.IBUF_LOW_PWR("TRUE"),     // Low power="TRUE", Highest performance="FALSE" 
	.IOSTANDARD("DEFAULT")     // Specify the input I/O standard
) u_ibuf_sys_clk (
	.O(sys_clk),  // Buffer output
	.I(sys_clk_p),  // Diff_p buffer input (connect directly to top-level port)
	.IB(sys_clk_n) // Diff_n buffer input (connect directly to top-level port)
);     

2.ucf引脚绑定格式如下(关键点在于IOSTANDARD要设置为DIFF_SSTL15,不然布线会报错)

NET "sys_clk_p" Loc = AE10 | IOSTANDARD = DIFF_SSTL15 | DIFF_TERM = "TRUE";
NET "sys_clk_n" Loc = AF10 | IOSTANDARD = DIFF_SSTL15 | DIFF_TERM = "TRUE";
  • 0
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值