牛客网Verilog刷题——VL22

牛客网Verilog刷题——VL22

题目

  某同步时序电路的状态转换图如下,箭头上表示“C/Y”,圆圈内为现态,箭头指向次态。请使用D触发器和必要的逻辑门实现此同步时序电路,用Verilog语言描述。
在这里插入图片描述

  电路的接口如下图所示,C是单bit数据输入端。

在这里插入图片描述

信号类型输入/输出位宽
clkwireIntput1
rst_nwireIntput1
CwireIntput1
YwireOutput1

答案

  在这里使用三段式状态机实现。

`timescale 1ns/1ns

module seq_circuit(
   input                C   ,
   input                clk ,
   input                rst_n,
 
   output   wire        Y   
);

reg [1:0] cuur_state;
reg [1:0] next_state;
reg       r_Y;
//三段式状态机
//第一段
always @(posedge clk or negedge rst_n)
    if(!rst_n)
        cuur_state <= 2'b00;
    else
        cuur_state <= next_state;
//第二段         
always @(*)
begin
    case(cuur_state)
        2'b00: next_state = C ? 2'b01 : 2'b00;
        2'b01: next_state = C ? 2'b01 : 2'b11;
        2'b10: next_state = C ? 2'b10 : 2'b00;
        2'b11: next_state = C ? 2'b10 : 2'b11;
        default:next_state = 2'b00;
    endcase
end
//第三段
always @(*)
  if(!rst_n)
    r_Y = 1'b0;
  else if(cuur_state == 2'b11|| ((cuur_state==2'b10)&&(C==1'b1)))
    r_Y = 1'b1;
  else
    r_Y = 1'b0;

assign Y = r_Y;

endmodule
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值