牛客网Verilog刷题——VL44

牛客网Verilog刷题——VL44

题目

在这里插入图片描述

  如图所示为两种状态机中的一种,请根据状态转移图写出代码,状态转移线上的0/0等表示的意思是过程中data/flag的值。

要求:

1、 必须使用对应类型的状态机

2、 使用二段式描述方法

注意rst为低电平复位
在这里插入图片描述
在这里插入图片描述

  输入输出描述:

信号类型输入/输出位宽描述
clkwireIntput1系统时钟信号
rstwireIntput1异步复位信号,低电平有效
datawireIntput1输入数据
flagregOutput1输出标志位

题目解析

  两段式状态机中,现态curr_state与次态next_state均为寄存器类型变量,现态curr_state(状态机第一段)用时序逻辑,表示当前状态;次态next_state(状态机第二段)用组合逻辑,表示下一个状态;而数据的输出也是使用组合逻辑,这也是和三段式状态机的区别之处。

答案

`timescale 1ns/1ns

module fsm2(
	input wire clk  ,
	input wire rst  ,
	input wire data ,
	output reg flag
);

//*************code***********//
reg		[4:0]	curr_state;
reg		[4:0]	next_state;

localparam S0 = 5'b00001;
localparam S1 = 5'b00010;
localparam S2 = 5'b00100;
localparam S3 = 5'b01000;
localparam S4 = 5'b10000;

//第一段
always @(posedge clk or negedge rst)
	if(!rst)
		curr_state <= 5'b00001;
	else
		curr_state <= next_state;

//第二段
always @(*)
	case(curr_state)
		S0:
			if(data==1'b0)
				next_state = S0;
			else
				next_state = S1;

		S1:
			if(data==1'b0)
				next_state = S1;
			else
				next_state = S2;
				
		S2:
			if(data==1'b0)
				next_state = S2;
			else
				next_state = S3;		
		
		S3:
			if(data==1'b0)
				next_state = S3;
			else
				next_state = S4;	
		
		S4:
			if(data==1'b0)
				next_state = S0;
			else
				next_state = S1;

	endcase

always @(*)
	if(curr_state == S4)
		flag = 1'b1;
	else
		flag = 1'b0;

//*************code***********//
endmodule
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值