牛客网Verilog刷题——VL41

该文介绍了如何使用Verilog设计一个能实现任意小数分频的时钟分频器,如8.7分频。在硬件中,小数运算不可行,所以通过计数器和控制信号在8和9个时钟周期间切换来达到8.7倍分频的效果。设计包含一个时钟计数器和一个周期计数器,以及一个控制信号来切换计数模式,最终输出分频后的时钟信号。
摘要由CSDN通过智能技术生成

牛客网Verilog刷题——VL41

题目

  请设计一个可以实现任意小数分频的时钟分频器,比如说8.7分频的时钟信号,注意rst为低电平复位。提示:其实本质上是一个简单的数学问题,即如何使用最小公倍数得到时钟周期的分别频比。设小数为n,此处以8.7倍分频的时钟周期为例。首先,由于不能在硬件上进行小数的运算(比如2.1个时钟这种是不现实的,也不存在3.3个寄存器),小数分频不能做到分频后每个时钟周期都是源时钟的n倍,也无法实现占空比为1/2,因此,考虑小数分频,其实现方式应当为53个clk——out时钟周期是10个clkin时钟周期的8.7倍。

  信号示意图如下。
在这里插入图片描述
  波形示意图如下。

在这里插入图片描述

  输入输出描述:

信号类型输入/输出位宽描述
clk_inwireIntput1系统时钟信号
rstwireIntput1异步复位信号,低电平有效
clk_outwireOutput1分频时钟

答案

`timescale 1ns/1ns

module div_M_N(
     input  wire clk_in,
     input  wire rst,
     output wire clk_out
);
    parameter M_N = 8'd87; 
    parameter c89 = 8'd24;  // 8/9时钟切换点
    parameter div_e = 5'd8; //偶数周期
    parameter div_o = 5'd9; //奇数周期
//*************code***********//
    reg [3:0] clk_cnt; //时钟计数器(用于计数8个时钟和9个时钟)
    reg [6:0] cyc_cnt; //时钟计数器(用于计数87个时钟周期)
    reg div_flag; //8/9时钟控制信号(0:计数8个时钟 1:计数9个时钟)
    reg clk_out_r; //输出小数分频时钟信号
    
    //时钟计数器(用于计数8个时钟和9个时钟)
    always@(posedge clk_in or negedge rst) begin
        if(~rst)
            clk_cnt <= 0;
        else if(~div_flag) //计数8个时钟
            clk_cnt <= clk_cnt==(div_e-1)? 0: clk_cnt+1;
        else //计数9个时钟
            clk_cnt <= clk_cnt==(div_o-1)? 0: clk_cnt+1;
    end
    
    //时钟计数器(用于计数87个时钟周期)
    always@(posedge clk_in or negedge rst) begin
        if(~rst)
            cyc_cnt <= 0;
        else
            cyc_cnt <= cyc_cnt==(M_N-1)? 0: cyc_cnt+1;
    end
    
    //8/9时钟控制信号(0:计数8个时钟 1:计数9个时钟)
    always@(posedge clk_in or negedge rst) begin
        if(~rst)
            div_flag <= 0;
        else
            div_flag <= cyc_cnt==(M_N-1)||cyc_cnt==(c89-1)? ~div_flag: div_flag;
    end
    
    //输出小数分频时钟
    always@(posedge clk_in or negedge rst) begin
        if(~rst)
            clk_out_r <= 0;
        else if(clk_cnt==0 || clk_cnt==4)
            clk_out_r <= ~clk_out_r;      
        else
            clk_out_r <= clk_out_r;
    end

    assign clk_out = clk_out_r;
//*************code***********//
endmodule
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值