FPGA学习琐碎笔记(一)

一、FPGA硬件组成

1、CPU(处理器)

FPGA的高端处理器主要由Xilinx(被AMD收购)与Altera(被Intel收购)两家制作。

FPGA的低端处理器制造厂商有紫光、复旦微、高云等。

其最小资源为CLB,CLB的数量决定了该FPGA芯片的资源大小。其外部晶振输入频率一般为50MHz,经过倍频可更高。

2、FLASH(闪存)

FLASH一般用来存放代码文件,FLASH为非易失性存储器,即掉电后所存放数据不会丢失。

QSPI NOR FLASH理解:QSPI是一种传输协议,其相比较普通的SPI协议(CLK、CS、MOSI和MISO),增加了两根IO线,可以使得传输工作在半双工状态时一次性可传输四位数据。

FLASH分为NORFLASH和NANDFLASH两种,其中优缺点如下:

NORFLASH:代码可直接运行,但写入速度慢,适合小容量的存储。

NANDFLASH:写入速度较快,无法随机1读取,适合大容量的数据存储,代码不可直接运行。

eMMC:eMMC存储芯片简化了存储器的设计,将NAND Flash芯片和控制芯片以MCP技术封装在一起。

4、RAM

DDR3?

5、什么是PL端什么是PS端

PS端:Process System,即处理系统,ARM内核。

PL端:Programmable Logic,即FPGA内核部分。

二、Verilog代码编写知识点

1、FPGA如何调用DSP单元

2、Run Synthesis 与  Run implementations的区别

3、FPGA中的parameter可以定义常量,该常量不允许在程序运行中改变该值。即不允许在组合逻辑或者时序逻辑中对常亮进行赋值。

4、FPGA中signed;可以决定在计算时扩位是否考虑符位。

 

 

 

小梅哥在学习Xilinx FPGA期间进行了积极的探索,特别是对Cyclone V SOC的开发流程进行了学习。从裸机到基于Linux嵌入式系统,小梅哥实现了FPGA和ARM Cortex-A9 CPU之间的双向控制和数据传输。 在代码编写方面,小梅哥设计了一个名为mux2的模块,用于实现二选一多路器。模块包含了输入和输出口,并通过assign语句实现了信号的赋值。 在激励文件中,小梅哥使用reg和wire定义了输入和输出信号,并通过mux2模块进行了实例化。然后,通过initial块生成了一系列的激励信号,对模块进行仿真。 总结来说,小梅哥在Xilinx FPGA学习笔记中记录了自己对Cyclone V SOC的学习、代码编写和激励文件的使用。这些学习内容对于理解FPGA开发流程以及实现特定功能非常有帮助。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [FPGA自学笔记——设计与验证VIP版.pdf](https://download.csdn.net/download/qq_30307853/11656682)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* *3* [小梅哥Xilinx FPGA学习笔记1——二选一多路器](https://blog.csdn.net/weixin_42454243/article/details/122026484)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值