基于FPGA的PWM发生器设计

本文介绍了一种基于FPGA的PWM发生器设计,使用Verilog实现,支持1Hz到25MHz的频率范围和1%到99%的占空比(部分频率限制为50%)。设计包括整数除法模块、PWM产生模块,并提供详细的设计思路、源码及仿真测试。此外,还展示了如何将PWM应用于蜂鸣器驱动。
摘要由CSDN通过智能技术生成


目录

引言

设计说明

设计特点

设计思路

设计源码

整数除法模块

PWM 产生模块

顶层文件

仿真测试

除法运算测试

PWM 产生模块 测试

仿真结果

约束文件

展示


引言

前段时间一直在作 MIPS32 CPU 的设计。最近想换换头脑,做一个 参数可实时控制 的 PWM 发生器。 本文会给出所有设计模块的设计以及仿真源码

设计说明

  • FPGA:xc7a35tfgg484-2
  • IDE:Vivado 2018.3  

设计特点

  1. 系统时钟为 50MHz

  2. PWM频率支持 1Hz ~ 25MHz 范围

  3.  PWM占空比支持 1% ~ 99% (部分频率 只能 50% 占空比)

  4. 精度控制采用 四舍五入



评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

在路上-正出发

哈哈,多少是个心意

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值