Verilog和Matlab实现RGB888互转YUV444


一、色彩空间

  色彩空间就是显示一幅图像所使用的特定颜色组合,不同的应用场景会使用不同的色彩空间。常见的色彩空间有RGB、CMYK、HSV、LAB以及YUV等等。

1.1 RGB色彩空间

  RGB色彩空间最常用的用途就是显示器领域,利用物理中光的三原色可以叠加成不同颜色的原理;因此一个像素由R、G、B三种颜色分量组成,在RGB色彩空间中,R、G、B三个分量的属性是独立的,每个分量数字越大,对应的颜色占比就越大。常见的RGB格式有RGB888、RGB565、RGB555等等,其中RGB888表示每种颜色分量都有256级,所以RGB888能表示256 * 256 * 256=1677w种颜色。RGB色彩空间应用十分广泛,但不适合做图像处理,因为人眼视网膜上存在两种视敏细胞:锥状细胞和杆状细胞这两种细胞对颜色和亮度的感知程度不一样(具体可以去了解以下人眼系统构成),总之就是人眼对亮度的感知大于对颜色的感知。而RGB三种分量都与亮度有关系,因此做图像处理时,改变任意分量对亮度都会产生影响,因此RGB色彩空间通常只是用来显示。

在这里插入图片描述

1.2 CMYK色彩空间

  CMYK色彩空间的使用场景是印刷、打印等领域,当光线照射到一个物体上时,物体将吸收一部分光,并将剩下的光进行反射,反射的光线就是我们所看见的物体颜色,这也是与RGB色彩空间的根本不同之处。CMYK颜色模型使用青、品红、黄、黑四个通道来表示颜色,青、品红、黄三个通道分别对应RGB的补色,K通道表示黑色墨水的量

在这里插入图片描述
  因此RGB色域更广,CMYK相较于RGB色域有限,所以存在一些RGB里的颜色在印刷时无法显示的情况,这些CMYK色域不包含的颜色在印刷时会丢失。

1.3 YUV色彩空间

  YUV是指亮度分量和色度分量都分开表示的像素格式,其中Y表示明亮度(Luminance或Luma),也就是灰度值;而U和V表示的则是色度(Chrominance或Chroma),作用是描述影像色彩及饱和度,用于指定像素的颜色。

  YCbCr其中Y是指亮度分量,Cb指蓝色色度分量,而Cr指红色色度分量。YCbCr 则是在世界数字组织视频标准研制过程中作为ITU - R BT.601 建议的一部分,其实是YUV经过缩放和偏移的翻版。其中Y与YUV 中的Y含义一致,Cb,Cr 同样都指色彩,只是在表示方法上不同而已。在YUV 家族中,YCbCr 是在计算机系统中应用最多的成员,其应用领域很广泛,JPEG、MPEG均采用此格式。

  1. YUV是一种模拟信号,其色彩模型源于RGB,常用于模拟广播电视中
  2. YCbCr是一种数字信号,是YUV压缩和偏移的结果,在数字视频中广泛使用。

  一般人们所讲的YUV大多是指YCbCr。YCbCr 有许多采样格式,是在获取原始图像数据时采用的策略。如YUV444,YUV422,YUV420 。

  • YUV 4:4:4表示每一个 Y 分量对应一对 UV 分量,每像素占用 (Y + U + V = 8 + 8 + 8 = 24bits)

在这里插入图片描述

  • YUV 4:2:2表示每两个 Y 分量对应一对 UV 分量,每像素占用 (Y + 0.5U + 0.5V = 8 + 4 + 4 = 16bits)

在这里插入图片描述

  • YUV 4:2:0表示每四个 Y 分量对应一对 UV 分量,每像素占用 (Y + 0.25U + 0.25V = 8 + 2 + 2 = 12bits)

在这里插入图片描述

二、色彩空间转换公式

2.1 RGB转CMYK

  第一步:将RGB色彩空间中的颜色映射到CMY色彩空间中R,G,B值除以255,将范围从0…255更改为0~1

R ′ = R / 255 R^{'}=R/255 R=R/255
G ′ = G / 255 G^{'}=G/255 G=G/255
B ′ = B / 255 B^{'}=B/255 B=B/255
  第二步:计算出黑色K的量值:
K = 1 − m a x ( R ′ , G ′ , B ′ ) K=1 - max(R^{'},G^{'},B^{'}) K=1max(R,G,B)
  第三步:计算出C(青色),M(品红),Y(红色)的值:
C = ( 1 − R ′ − K ) / ( 1 − K ) C =(1 - R^{'} - K)/ (1 - K) C=1RK/(1K)
M = ( 1 − G ′ − K ) / ( 1 − K ) M =(1 - G^{'} - K)/ (1 - K) M=1GK/(1K)
Y = ( 1 − B ′ − K ) / ( 1 − K ) Y =(1 - B^{'} - K)/ (1 - K) Y=1BK/(1K)

  例如R、G、 B = 88、137、142转换成CMYK就等于C、M、Y、K=38、4、0、44(单位%)

在这里插入图片描述

2.2 CMYK转RGB

R = 255 ∗ ( 1 − C ) ∗ ( 1 − K ) R=255 * (1-C)*(1-K) R=2551C1K
G = 255 ∗ ( 1 − M ) ∗ ( 1 − K ) G=255 * (1-M)*(1-K) G=2551M1K
B = 255 ∗ ( 1 − Y ) ∗ ( 1 − K ) B=255 * (1-Y)*(1-K) B=2551Y1K

  例如C、M、Y、K=38、4、0、44(单位%)等于R、G、 B = 89、137、143

在这里插入图片描述

2.3 RGB888转YUV444

Y = 0.299 ∗ R + 0.587 ∗ G + 0.114 ∗ B Y=0.299 *R + 0.587*G + 0.114 * B Y=0.299R+0.587G+0.114B
U = − 0.169 ∗ R − 0.331 ∗ G + 0.5 ∗ B + 128 U=-0.169 *R -0.331*G + 0.5 * B + 128 U=0.169R0.331G+0.5B+128
V = 0.5 ∗ R − 0.419 ∗ G − 0.081 ∗ B + 128 V=0.5 *R - 0.419*G -0.081 * B + 128 V=0.5R0.419G0.081B+128

2.4 YUV444转RGB888

R = Y + 1.402 ∗ V − 1.402 ∗ 128 R=Y + 1.402*V - 1.402 * 128 R=Y+1.402V1.402128
G = Y − 0.344 ∗ U − 0.714 ∗ V + 1.058 ∗ 128 G=Y -0.344*U - 0.714 * V + 1.058*128 G=Y0.344U0.714V+1.058128
B = Y + 1.772 ∗ U − 1.772 ∗ 128 B=Y + 1.772*U -1.772*128 B=Y+1.772U1.772128

三、MATLAB实现RGB888转YUV444

3.1 matlab代码

clear all; close all; clc;

% -------------------------------------------------------------------------
% 读图像到matlab
IMG1 = imread('..................../.jpg');    % 读取jpg图像
h = size(IMG1,1);         % 读取图像高度
w = size(IMG1,2);         % 读取图像宽度
subplot(221);imshow(IMG1);title('RGB图像');

% -------------------------------------------------------------------------
% 计算YUV
% Y=0.299  *R  + 0.587*G + 0.114 * B
% U=-0.169 *R  - 0.331*G + 0.5   * B + 128
% V=0.5    *R  - 0.419*G - 0.081 * B + 128
IMG1 = double(IMG1);    %转为双精度浮点数   
IMG_YUV = zeros(h,w,3); %先将变量清零 
for i = 1 : h
    for j = 1 : w
        IMG_YUV(i,j, 1) = ( IMG1(i,j,1)*0.299 + IMG1(i,j,2)*0.587 + IMG1(i,j,3)*0.114);
        IMG_YUV(i,j,2)  = (-IMG1(i,j,1)*0.169 - IMG1(i,j,2)*0.331 + IMG1(i,j,3)*0.5 + 128);
        IMG_YUV(i,j,3)  = ( IMG1(i,j,1)*0.5   - IMG1(i,j,2)*0.419 - IMG1(i,j,3)*0.081 + 128);
    end
end

% -------------------------------------------------------------------------
% Display Y Cb Cr Channel
IMG_YUV = uint8(IMG_YUV); 
subplot(222); imshow(IMG_YUV(:,:,1));  title('Y  通道');
subplot(223); imshow(IMG_YUV(:,:,2));  title('Cb 通道');
subplot(224); imshow(IMG_YUV(:,:,3));  title('Cr 通道');

3.2 matlab结果

在这里插入图片描述

四、Verilog实现RGB888转YUV444

  因为转换公式里有小数,FPGA不能直接对小数进行运算,一般的处理方式就是浮点数转定点数来计算,例如0.299可以写成306(0.2991024)然后再进行运算,运算完成后的结果右移10位就行。例如0.299 * 248 = 74.152,浮点数转成定点数运算就是 306248 /1024 = 74.109。j计算结果有误差,所以要选择合理的扩大位宽使得计算误差在可接受范围内,代码如下:

4.1 verilog代码

`timescale 1ns / 1ps
module rgb2yuv(
    input                                               clk             ,
    input                                               rst             ,
    //输入RGB原始信号
    input                                               i_data_valid    ,
    input           [7:0]                               i_data_r        ,
    input           [7:0]                               i_data_g        ,
    input           [7:0]                               i_data_b        ,
    //输出转行后的yuv信号
    output                                              o_data_valid    ,
    output          [7:0]                               o_data_y        ,
    output          [7:0]                               o_data_u        ,
    output          [7:0]                               o_data_v        
);

//Y= 0.299*R + 0.587*G + 0.114*B
//U=-0.169*R - 0.331*G + 0.500*B + 128
//V= 0.500*R - 0.419*G - 0.081*B + 128

/****************parameter********************/
parameter   Y_PARA_R    = 306,   // 0.299*1024
            Y_PARA_G    = 601,   // 0.587*1024
            Y_PARA_B    = 117;   // 0.114*1024
  
parameter   U_PARA_R    = 173,   // 0.169*1024
            U_PARA_G    = 339,   // 0.331*1024
            U_PARA_B    = 512;   // 0.500*1024
  
parameter   V_PARA_R    = 512,   // 0.500*1024
            V_PARA_G    = 429,   // 0.419*1024
            V_PARA_B    = 83 ;   // 0.081*1024

parameter   BASE        = 131072;// 128*1024

/*******************reg***********************/
reg     [1:0]   ro_data_valid   ;
reg     [17:0]  ro_data_y       ;
reg     [17:0]  ro_data_u       ;
reg     [17:0]  ro_data_v       ;
reg     [17:0]  r_y1            ;
reg     [17:0]  r_y2            ;
reg     [17:0]  r_y3            ;
reg     [17:0]  r_u1            ;
reg     [17:0]  r_u2            ;
reg     [17:0]  r_u3            ;
reg     [17:0]  r_v1            ;
reg     [17:0]  r_v2            ;
reg     [17:0]  r_v3            ;

/******************wire***********************/
/******************assign*********************/
assign o_data_valid = ro_data_valid[1]     ;
assign o_data_y     = ro_data_y[17:10]     ;
assign o_data_u     = ro_data_u[17:10]     ;
assign o_data_v     = ro_data_v[17:10]     ;

//1 clock
always @(posedge clk) begin
    r_y1 = (i_data_r * Y_PARA_R);
    r_y2 = (i_data_g * Y_PARA_G);
    r_y3 = (i_data_b * Y_PARA_B);
end

always @(posedge clk) begin
    r_u1 = (i_data_r * U_PARA_R);
    r_u2 = (i_data_g * U_PARA_G);
    r_u3 = (i_data_b * U_PARA_B);
end

always @(posedge clk) begin
    r_v1 = (i_data_r * V_PARA_R);
    r_v2 = (i_data_g * V_PARA_G);
    r_v3 = (i_data_b * V_PARA_B);
end

//2 clock
always @(posedge clk) begin
    ro_data_y = r_y1 + r_y2 + r_y3;
    ro_data_u = r_u3 - r_u1 - r_u2 + BASE;
    ro_data_v = r_v1 - r_v2 - r_v3 + BASE;
end

//sync_valid

always @(posedge clk) begin
    ro_data_valid <= {ro_data_valid[0],i_data_valid};
end

endmodule

  整体转换周期只有两个时钟周期,所以valid信号要打两拍。

4.2 仿真观察

  • 10
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

爱奔跑的虎子

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值