锁相环技术原理及FPGA实现(第二章2.2)

本文详细介绍了FPGA中定点数的运算,包括加/减法、乘法和除法,强调了小数点位置对齐和符号位处理的重要性。在Verilog HDL中,定点数的运算通过扩展位数和移位来实现,乘法和除法运算可能需要硬件乘法器或IP核。此外,有效数据位的计算对于资源利用至关重要。
摘要由CSDN通过智能技术生成

2.2 FPGA 中数的运算

2.2.1 加/减法运算

        如前节所述, FPGA 中的二进制数可以分为定点数和浮点数两种格式,虽然浮点数的加
减法运算相对于定点数而言在运算步聚和实现难度上都要复杂得多,但基本的运算仍然是
通过分解为定点数运算,以及移位等运算步骤来实现的,因此本节只针对定点数运算进行
分析讲解。
        进行 FPGA 实现的设计输入语言主要有 Verilog HDL 和 VHDL 两种。由于本书使用
Verilog HDL 语言讲解,这里只介绍 Verilog HDL 语言中对定点数的运算及处理方法。
Verilog HDL 设计文件中最常用的数据类型是单比特 wire 及 reg, 以及它们的向量形式。
当需要进行数据运算时, Verilog HDL 如何判断二进制数据的小数位、有符号数表示形式等
信息呢?在 Verilog HDL 程序中,所有二进制数均当作整数处理,也就是说小数点均在最低
位的右边。如果要在程序中表示带小数的二进制运算,该如何处理呢?其实,进行 Verilog
HDL 程序设计时,定点数

  • 22
    点赞
  • 25
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

BinaryStarXin

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值