FPGA设计优化(3.7)

        设计规则1:对综合后的设计就要开始进行扇出分析,以尽早发现高扇出的网线,并评估其可能对设计造成的影响。report_high_fanout_nets的具体用法如Tcl代码9-1所示。代码第3行的选项-load_types生成的报告样例如图9-1所示。从此报告中可以看到网线rectify_reset的扇出为10239,是由触发器驱动的(对应Driver Type列),其负载由两部分构成:触发器的复位/置位(对应
Set/Reset Slice列)和BRAM/DSP/OTHER的复位/置位(对应Set/ResetBRAM/DSP/OTHER列)。如果需要进一步获取网线rectify_reset的所有负载以便分析,可先在图9-1的报告中选中该网线,再执行Tcl代码9-2即可。

         Tcl代码9-1第5行选项-timing生成的报告如图9-2所示,其中增加了Worst Slack(ns)和Worst Delay(ns)两个信息,这有助于判断该网线是否对时序收敛构成威胁。如果需要查看穿过报告中某个网线的时序路径的时序信息,如这里的usbEngine1/u1/u3/O3,可先在此报告中选中该网线,再执行Tcl代码9-3,即可生成对应的时序报告,如图9-3所示。可以看到,该

  • 15
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

BinaryStarXin

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值