verilog 练习一:八位数据比较器 modelsim

本文介绍了一个用Verilog编写的8位数据比较器模块,该模块比较两个8位输入a和b,当a大于b时,输出高电平,否则输出低电平。测试文件展示了不同输入情况下,比较器的正确响应。仿真结果显示了在各个测试点的预期行为。
摘要由CSDN通过智能技术生成
//8位数据比较器
//若a[7:0]大于b[7:0],则输出高电平,否则输出低电平

module comp_8(a,b,great); 
input  [7:0] a,b;
output great;
reg    great;

always @(a or b)
       if ( a > b )
	       great = 1;
	   else
	       great = 0;
		   
endmodule

测试文件

`timescale 1ns/1ns
module comp_8_tb();
reg [7:0] a;
reg [7:0] b;
wire great;

initial
   begin
        a = 8'b0000_0000;
		b = 8'b0000_0000;
		#100 a = 8'b0000_0000; b = 8'b0000_0001;
		#100 a = 8'b0000_0001; b = 8'b0000_0001;
		#100 a = 8'b0000_0001; b = 8'b0000_0000;
		#100 a = 8'b0000_0000; b = 8'b0000_0000;
		#100 $stop;
	end	

comp_8 u1(
          .a(a),
		  .b(b),
		  .great(great)
		  );

endmodule

仿真结果
在这里插入图片描述

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值