HDLBits / fsm / lemming4 求助帖

module top_module(
    input clk,
    input areset,    // Freshly brainwashed Lemmings walk left.
    input bump_left,
    input bump_right,
    input ground,
    input dig,
    output walk_left,
    output walk_right,
    output aaah,
    output digging ); 
    reg [4:0] count;
    reg [2:0] state, next_state;
    parameter deathtime = 5'd20;
	parameter left = 3'b000, right = 3'b001,fall_left = 3'b010, fall_right = 3'b011, dig_left = 3'b100, dig_right = 3'b101, splatter=3'b110,death='b111;
    //定义计数器
    always@(posedge clk or posedge areset)begin
        if(areset)
            count <= 5'b0;
        else if((state== fall_left) || (state==fall_right))
            count <= count+5'b1;
        else 
            count <= 5'b0;
    end
    //状态转移条件
    always @(*)begin
        case(state)
            left:begin
                if(ground == 1'b0)
                    next_state = fall_left;
                else if (dig == 1'b1)
                    next_state = dig_left;
                else if (bump_left ==1'b1)
                    next_state = right;
                else
                    next_state =  left;     
            end
            right:begin
                if(ground == 1'b0)
                    next_state = fall_right;
                else if (dig == 1'b1)
                    next_state = dig_right;
                else if(bump_right== 1'b1)
                    next_state = left;
                else
                    next_state =right;
            end
            fall_left:begin
                if(ground==1'b0)
                    if(count > deathtime)
                        next_state = splatter;
                    else
                        next_state = fall_left;
                else
                    next_state = left;
            end
            fall_right:begin
                if(ground == 1'b0)
                    if(count > deathtime )
                        next_state = splatter;
                    else
                        next_state = fall_right;
                else
                    next_state = right;
            end
            dig_left:begin
                if(ground == 1'b0)
                    next_state = fall_left;
                else
                    next_state = dig_left;
            end
            dig_right:begin
                if(ground == 1'b0)
                    next_state = fall_right;
                else
                    next_state = dig_right;               
            end
            splatter: begin
                if(ground==1'b1)
                    next_state= splatter;
                else
                	next_state = death;
            end
            death:next_state = death;
            default: next_state = left;
        endcase  
        end
//状态转移过程
    always@(posedge clk or posedge areset)begin
        if(areset)
            state <= left;
        else
            state <= next_state;  
    end
//output
    always @(*) begin
        case (state)
            death, splatter: begin
                walk_left = 0;
                walk_right = 0;
                aaah = 0;
                digging = 0;
            end
            default: begin
                walk_left = (state == left);
                walk_right = (state == right);
                aaah = ((state == fall_left) |(state == fall_right) | (state == splatter));
                digging = ((state == dig_left) | (state == dig_right));
            end
        endcase
    end
endmodule

友友们帮忙看一下哪里出现了问题。 

  • 9
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值