EDA仿真测试 Modelsim仿真 .vt文件法仿真 .v文件法仿真

一、本文内容

内容:通过两种方法,使用modelsim仿真Verilog程序

版本:Quartus II 13.1(64-bit)

二、通过 “.vt文件” 测试仿真

1、准备工作

已建立好的工程文件

image-20210523190533663

// 上述工程文件代码   实现奇数分频功能

module FenPin(clkout,clk,rst); 
input clk,rst; 
output clkout; 

parameter f=9;			//即为奇分频分频数

reg [9:0] counter1, counter2;
reg clkp1, clkp2;

always@(posedge clk or negedge rst)  // counter1自动计数
begin
	if (~rst) 
		counter1<=0;
	else 
		if (counter1==f-1)
			counter1<=0;
		else 
			counter1<=counter1+1;
end

always @(posedge clk or negedge rst) // clk上升沿触发产生p1
begin
	if(~rst) 
		clkp1<=0;
	else 
		if(counter1==(f-1)/2 || counter1==f-1)
			clkp1<=~clkp1;
end


always @(negedge clk or negedge rst)//counter2自动计数 
begin 
	if(~rst) 
		counter2<=0; 
	else 
		if(counter2==f-1) 
			counter2<=0; 
		else 
			counter2<=counter1+1; 
end 

always @(negedge clk or negedge rst)//clk下降触发产生p2 
begin 
	if(~rst) 
		clkp2<=0; 
	else 
		begin 
			if(counter2==(f-1)/2||counter2==f-1) 
				clkp2<=~clkp2;
		end 
end 

assign clkout=clkp1|clkp2;   //p1|p2使占空比等于50% 

endmodule

2、仿真步骤

image-20210523191202509

image-20210523191353899

image-20210523191600662

3、编写测试文件

image-20210523192122797

//测试文件内容

`timescale 1 ps/ 1 ps
module FenPin_vlg_tst();

reg clk;
reg rst;                                   
wire clkout;
                       
FenPin i1 ( 
	.clk(clk),
	.clkout(clkout),
	.rst(rst)
);
    
initial                                                
begin                                                  
    rst=1;				//模拟复位信号按下
	#20 rst=0;
	#20 rst=1;
	#8000 $stop;                                        
end                                                    
always                                                                
begin                                                  
    #50 clk=1; 			//生成时钟信号
	#50 clk=0;                                     
end                                                    
endmodule

4、仿真前准备

image-20210523193236404

5、仿真

image-20210523193346067

image-20210523193745333

仿真完成

二、通过 “.v文件仿真”

1、准备工作

同于上述工程的代码

2、仿真文件编写

image-20210523194412755

image-20210523194610655

复制测试文件内容写入新建的.v文件,并保存到和顶层文件对应的目录下,编译整个工程

3、Modelsim软件准备

直接打开软件

image-20210523194740338

新建文件夹

image-20210523194946759

新建测试文件工程

image-20210523195244852

添加已写好的.v文件(源文件和测试文件)

image-20210523200009452

编译

image-20210523200449512

开始仿真

image-20210523200936659

添加到波形仿真

image-20210523201006817

image-20210523201127325

仿真成功
    
   
注:最后一部分,不同人显示的界面可能多多少少不一样(多摸索摸索)

image-20210523201250222

如果不小心关闭了工程,可以打开测试工程文件夹下面的 .mpf 文件来重新打开工程
  • 19
    点赞
  • 98
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值