verilog阻塞赋值和非阻塞赋值


verilog阻塞赋值和非阻塞赋值


简介

  • Verilog 编程很容易混淆阻塞赋值和非阻塞赋值。
  • always 块中,阻塞赋值使用 “=”,非阻塞赋值使用 “<=”。
  • 建议:在时序电路中,用非阻塞赋值 “<=”。
  • 建议:在锁存器电路中,用非阻塞赋值 “<=”。
  • 建议:在组合逻辑中,用阻塞赋值 “=”。
  • 建议:在同一个always 块中不要既用非阻塞赋值又用阻塞赋值。
  • 建议只是建议,不是绝对的,要灵活掌握。
  • 在一些迭代算法中,阻塞赋值是简化代码的一个非常好的
  • 阻塞赋值和我们软件编程有些类似。可以理解成按顺序串行执行的语句(不是并行执行)
    数字电路分类:

数字电路简介

  • 1:组合逻辑电路,采用没有时钟的门电路组成逻辑电路,没有反馈,简称组合电路。
  • 2:时序逻辑电路,用带时钟的寄存器的电路,简称组合电路。
  • 3:锁存器逻辑电路,采用没有时钟的门电路组成逻辑电路,有反馈,简称锁存器电路。

举例

注意事项

  • 在组合逻辑的电路设计中要避免产生“锁存器逻辑电路”,会破坏代码的逻辑正确。出毛病很难查。
  • 比如
    reg a,b,c;
  • 举例 1
    always@(*) //组合逻辑电路
    begin
    if(b0)
    a = 0;
    else if(c
    0)
    a= 1;
    //在组合逻辑中这里少个 else 会出现锁存器 latch
    end
  • 举例 2
    reg [3:0] x;
    reg y;
    always@(*) //组合逻辑电路
    begin
    case (x)
    4’b000:y= 0;
    4’b001:y= 1;
    4’b010:y= 1;
    //在组合逻辑中这里少个 default 会出现锁存器 latch
    endcase
    end

相关连接

  • 文章会陆续补充多个 verilog 举例代码,包括一些测试文件
  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

老皮芽子

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值