FIR 高级应用 - 多通道实验 (四个通道用一个 FIR IP,每通道用不同的系数)

本文链接:https://blog.csdn.net/qq_46621272/article/details/125346332

FIR 高级应用 - 多通道实验 (四个通道用一个 FIR IP,每通道用不同的系数)


文章目录


前言

这是 XILINX FIR IP 详解、Verilog 源码、Vivado 工程 这篇文章的实验部分。


1. multichannel_fir 模块逻辑框图

在这里插入图片描述

2. multichannel_fir.v verilog 代码

//multichannel_fir.v
module	multichannel_fir
(
	input					rst_n,
	input					clk,
	input					clk_100mhz,
	input					s_axis_data_tvalid,
	output					s_axis_data_tready,
	input	signed[63:0]	s_axis_data_tdata,
	output					m_axis_data_tvalid,
	input					m_axis_data_tready,
	output	signed[63:0]	m_axis_data_tdata
);

	wire 		[63:0]		fifo_as_tdata_i;
	wire					fifo_as_tvalid_i;
	wire					fifo_as_full_i;
	wire					fifo_as_clk_i;

	wire signed	[15:0]		fifo_am_tdata_i;
	wire					fifo_am_tvalid_i;
	wire					fifo_am_rden_i;
	wire					fifo_am_empty_i;
	wire					fifo_am_clk_i;

	wire signed	[15:0]		fifo_bs_tdata_i;
	wire					fifo_bs_tvalid_i;
	wire					fifo_bs_full_i;
	wire					fifo_bs_clk_i;

	wire signed	[63:0]		fifo_bm_tdata_i;
	wire					fifo_bm_tvalid_i;
	wire					fifo_bm_rden_i;
	wire					fifo_bm_empty_i;
	wire					fifo_bm_clk_i;

	assign	fifo_as_clk_i		= clk;
	assign	fifo_am_clk_i		= clk_100mhz;
	assign	fifo_bs_clk_i		= clk_100mhz;
	assign	fifo_bm_clk_i		= clk;

	assign	fifo_as_tdata_i		= s_axis_data_tdata;
	assign	fifo_as_tvalid_i	= s_axis_data_tvalid;
	assign	s_axis_data_tready	= ~fifo_as_full_i;
	assign	fifo_am_tvalid_i	= fifo_am_rden_i & ~fifo_am_empty_i;

	fifo_w64_r16 fifo_ua
	(
		.rst			(~rst_n),				// input wire rst
		.wr_clk			(fifo_as_clk_i),		// input wire wr_clk
		.rd_clk			(fifo_am_clk_i),		// input wire rd_clk
		.din			(fifo_as_tdata_i),		// input wire [63 : 0] din
		.wr_en			(fifo_as_tvalid_i),		// input wire wr_en
		.rd_en			(fifo_am_rden_i),		// input wire rd_en
		.dout			(fifo_am_tdata_i),		// output wire [15 : 0] dout
		.full			(fifo_as_full_i),		// output wire full
		.empty			(fifo_am_empty_i)		// output wire empty
	);

	wire				fir_a_clk_i;
	
	wire signed	[15:0]	fir_as_tdata_i;
	wire				fir_as_tvalid_i;
	wire				fir_as_tready_i;
	wire signed	[39:0]	fir_am_tdata_i;
	wire				fir_am_tvalid_i;
	wire				fir_am_tready_i;
	wire [1:0]			fir_am_tuser_i;

	wire [7:0]			fir_as_config_tdata_i;
	wire				fir_as_config_tvalid_i;
	wire				fir_as_config_tready_i;
	wire				fir_as_config_tlast_i;
	wire				event_s_config_tlast_missing_i;
	wire				event_s_config_tlast_unexpected_i;
	reg	[7:0]			s_cfg_cnt			= 0;
	reg	[7:0]			s_config_tdata_r	= 0;

	assign	fir_a_clk_i			= fifo_am_clk_i;
	assign	fir_as_tvalid_i		= fifo_am_tvalid_i;
	assign	fir_as_tdata_i		= fifo_am_tdata_i;
	assign	fifo_am_rden_i		= fir_as_tready_i;

	reg		[31:0]	time_cnt = 0;
	reg		[7:0]	channel_id_r	 = 0;
	wire	[31:0]	load_cfg;
	
	always @(posedge fir_a_clk_i)
	begin
		time_cnt	<= time_cnt + 1;
	end
	
	assign	load_cfg = ( time_cnt[14:0] == 15'h4000 && time_cnt > 'h8000 && time_cnt < 'h30000 ) ? 1:0;

	always @(posedge fir_a_clk_i)
	begin
		if(rst_n == 0)
		begin
			channel_id_r 	<= 0;
		end
		else if(fir_as_config_tlast_i == 1 && fir_as_config_tvalid_i == 1 && fir_as_config_tready_i == 1 && channel_id_r < 4)
		begin
			channel_id_r 	<= channel_id_r +1;
		end
	end

	always @(posedge fir_a_clk_i)
	begin
		if(load_cfg == 1)
		begin
			s_cfg_cnt 	<= 4;
			if(channel_id_r <4)
				s_config_tdata_r	<= 3 - channel_id_r;	//3/2/1/0 顺序
			else
				s_config_tdata_r	<= 0;
		end
		else if(fir_as_config_tready_i == 1 && fir_as_config_tvalid_i == 1 && s_cfg_cnt != 0)
		begin
			s_cfg_cnt 	<= s_cfg_cnt -1;
			if(channel_id_r ==4)
			begin
				s_config_tdata_r	<= s_config_tdata_r + 1;
			end
		end
	end
	
	assign	fir_as_config_tvalid_i	= s_cfg_cnt == 0 ? 0:1;
	assign	fir_as_config_tdata_i	= s_config_tdata_r;
	assign	fir_as_config_tlast_i	= s_cfg_cnt == 1 ? 1:0;

	fir_compiler_mc4_512 multichannel_fir_u1
	(
		.aresetn				(rst_n),				// input wire aresetn
		.aclk					(fir_a_clk_i),			// input wire aclk
		.s_axis_data_tvalid		(fir_as_tvalid_i),		// input wire s_axis_data_tvalid
		.s_axis_data_tready		(fir_as_tready_i),		// output wire s_axis_data_tready
		.s_axis_data_tdata		(fir_as_tdata_i),		// input wire [15 : 0] s_axis_data_tdata
		.s_axis_config_tvalid	(fir_as_config_tvalid_i),	// input wire s_axis_config_tvalid
		.s_axis_config_tready	(fir_as_config_tready_i),	// output wire s_axis_config_tready
		.s_axis_config_tlast	(fir_as_config_tlast_i),	// input wire s_axis_config_tlast
		.s_axis_config_tdata	(fir_as_config_tdata_i),	// input wire [7 : 0] s_axis_config_tdata
		.m_axis_data_tvalid		(fir_am_tvalid_i),		// output wire m_axis_data_tvalid
		.m_axis_data_tready		(fir_am_tready_i),		// input wire m_axis_data_tready
		.m_axis_data_tuser		(fir_am_tuser_i),		// output wire [1 : 0] m_axis_data_tuser
		.m_axis_data_tdata		(fir_am_tdata_i),		// output wire [39 : 0] m_axis_data_tdata
		.event_s_config_tlast_missing	(event_s_config_tlast_missing_i),		// output wire event_s_config_tlast_missing
		.event_s_config_tlast_unexpected(event_s_config_tlast_unexpected_i)	// output wire event_s_config_tlast_unexpected
	);
	assign	fifo_bs_tvalid_i	= fir_am_tvalid_i;
	assign	fifo_bs_tdata_i		= fir_am_tdata_i >>>24;
	assign	fir_am_tready_i		= ~fifo_bs_full_i;

	
	fifo_w16_r64 fifo_ub
	(
		.rst			(~rst_n),				// input wire rst
		.wr_clk			(fifo_bs_clk_i),		// input wire wr_clk
		.rd_clk			(fifo_bm_clk_i),		// input wire rd_clk
		.din			(fifo_bs_tdata_i),		// input wire [16 : 0] din
		.wr_en			(fifo_bs_tvalid_i),		// input wire wr_en
		.rd_en			(fifo_bm_rden_i),		// input wire rd_en
		.dout			(fifo_bm_tdata_i),		// output wire [63 : 0] dout

		.full			(fifo_bs_full_i),		// output wire full
		.empty			(fifo_bm_empty_i)		// output wire empty
	);

	assign	fifo_bm_rden_i		= m_axis_data_tready;
	assign	fifo_bm_tvalid_i	= fifo_bm_rden_i & ~fifo_bm_empty_i;

	assign	m_axis_data_tdata	= fifo_bm_tdata_i;
	assign	m_axis_data_tvalid	= fifo_bm_tvalid_i;

endmodule


3. multichannel_fir_testbench.v verilog 代码


///////////////////////////////////////////////////////////////////////

`timescale 1ns / 100ps
//multichannel_fir_testbench.v
module multichannel_fir_testbench;

reg			rst_n;
reg			ts;
reg			clk;
reg			clk_100mhz;
reg			clk_10khz;
reg			clk_30khz;
reg			clk_50khz;
reg			clk_70khz;

parameter CLK_PERIOD		= 476.1904762;		//2.1MHz
parameter CLK_PERIOD_100MHz	= 10;				//100MHz

parameter CLK_10K			= CLK_PERIOD * 210;
parameter CLK_30K			= CLK_PERIOD * 70;
parameter CLK_50K			= CLK_PERIOD * 42;
parameter CLK_70K			= CLK_PERIOD * 30;

initial	begin
	rst_n	= 0;
	ts		= 0;
	#(20 * CLK_PERIOD)
	rst_n	= 1;
	#(4500 * CLK_PERIOD)
	ts		= 1;
	#(1000 * CLK_PERIOD)
	$stop;
end

initial
begin
	clk			= 0;
	clk_100mhz	= 0;
	clk_10khz	= 0;
	clk_30khz	= 0;
	clk_50khz	= 0;
	clk_70khz	= 0;
end

always	clk			= #(CLK_PERIOD/2.0) ~clk;
always	clk_100mhz	= #(CLK_PERIOD_100MHz/2.0) ~clk_100mhz;
always	clk_10khz	= #(CLK_10K/2.0) ~clk_10khz;
always	clk_30khz	= #(CLK_30K/2.0) ~clk_30khz;
always	clk_50khz	= #(CLK_50K/2.0) ~clk_50khz;
always	clk_70khz	= #(CLK_70K/2.0) ~clk_70khz;


	wire 	signed[15:0]	s_tdata[3:0];
	wire					s_tvalid;
	wire					s_tready;

	wire 	signed[15:0]	m_tdata[3:0];
	wire					m_tvalid;
	wire					m_tready = 1;
	
		
	assign	s_tdata[0]  = clk_10khz == 0 ? -10000:10000;
	assign	s_tdata[1]  = ts == 0 ? {clk_30khz == 0 ? -10000:10000}:s_tdata[0];
	assign	s_tdata[2]  = ts == 0 ? {clk_50khz == 0 ? -10000:10000}:s_tdata[0];
	assign	s_tdata[3]  = ts == 0 ? {clk_70khz == 0 ? -10000:10000}:s_tdata[0];
	
	assign	s_tvalid = s_tready;

	multichannel_fir		u1
	(
		.clk				(clk),				//1MHz
		.clk_100mhz			(clk_100mhz),
		.rst_n				(rst_n),

		.s_axis_data_tdata	({s_tdata[0],s_tdata[1],s_tdata[2],s_tdata[3]}),
		.s_axis_data_tvalid	(s_tvalid),
		.s_axis_data_tready	(s_tready),

		.m_axis_data_tdata	({m_tdata[0],m_tdata[1],m_tdata[2],m_tdata[3]}),
		.m_axis_data_tvalid	(m_tvalid),
		.m_axis_data_tready	(m_tready)

	);

endmodule

4. 仿真波形

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

5. FIR IP 设置

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

6. FIR IP 系数文件

a. config_0 m_fir_bandpass_2_1m_1k_10k_10k_20k_512.coe 采样频率 2.1MHz 10KHz 带通滤波器 COE 文件
; XILINX CORE Generator(tm)Distributed Arithmetic FIR filter coefficient (.COE) File
; Generated by MATLAB(R) 9.7 and DSP System Toolbox 9.9.
; Generated on: 18-Jun-2022 10:14:47
Radix = 16; 
Coefficient_Width = 16; 
CoefData = 
05ec,014a,016e,0193,01bb,01e5,0210,023f,026f,02a2,02d7,030e,0347,0383,03c2,0403,
0445,048a,04d3,051c,0568,05b6,0606,0658,06ad,0703,075b,07b5,0810,086d,08cc,092c,
098d,09f0,0a53,0ab7,0b1c,0b82,0be8,0c4e,0cb4,0d19,0d7f,0de4,0e48,0eab,0f0d,0f6d,
0fcc,1029,1083,10dc,1131,1184,11d4,121f,1268,12ac,12ec,1327,135e,1390,13bc,13e3,
1403,141e,1432,143f,1446,1445,143c,142c,1414,13f4,13cb,1399,135f,131b,12ce,1278,
1218,11ae,113a,10bc,1034,0fa2,0f04,0e5d,0daa,0ced,0c26,0b53,0a76,098e,089b,079e,
0696,0583,0466,033f,020e,00d2,ff8d,fe3f,fce6,fb85,fa1b,f8a8,f72e,f5ab,f420,f28e,
f0f6,ef57,edb2,ec07,ea57,e8a3,e6ea,e52e,e36f,e1ad,dfe9,de24,dc5e,da97,d8d1,d70c,
d549,d388,d1ca,d00f,ce59,cca7,cafc,c956,c7b8,c621,c493,c30e,c192,c021,bebc,bd62,
bc14,bad4,b9a1,b87d,b769,b664,b56f,b48b,b3b9,b2f9,b24b,b1b1,b12b,b0b9,b05b,b013,
afe0,afc3,afbd,afcd,aff4,b032,b088,b0f6,b17b,b219,b2cf,b39e,b485,b584,b69c,b7cc,
b915,ba76,bbef,bd80,bf28,c0e9,c2c0,c4af,c6b3,c8ce,caff,cd45,cfa0,d20f,d492,d728,
d9d0,dc8b,df56,e232,e51d,e818,eb20,ee36,f158,f486,f7be,fb00,fe4b,019d,04f7,0856,
0bba,0f22,128d,15fa,1967,1cd3,203f,23a7,270d,2a6d,2dc8,311b,3467,37aa,3ae3,3e11,
4133,4447,474d,4a45,4d2b,5001,52c5,5576,5813,5a9b,5d0d,5f69,61ae,63da,65ee,67e8,
69c9,6b8e,6d38,6ec6,7037,718c,72c3,73dc,74d7,75b3,7670,770e,778d,77ec,782c,784c,
784c,782c,77ec,778d,770e,7670,75b3,74d7,73dc,72c3,718c,7037,6ec6,6d38,6b8e,69c9,
67e8,65ee,63da,61ae,5f69,5d0d,5a9b,5813,5576,52c5,5001,4d2b,4a45,474d,4447,4133,
3e11,3ae3,37aa,3467,311b,2dc8,2a6d,270d,23a7,203f,1cd3,1967,15fa,128d,0f22,0bba,
0856,04f7,019d,fe4b,fb00,f7be,f486,f158,ee36,eb20,e818,e51d,e232,df56,dc8b,d9d0,
d728,d492,d20f,cfa0,cd45,caff,c8ce,c6b3,c4af,c2c0,c0e9,bf28,bd80,bbef,ba76,b915,
b7cc,b69c,b584,b485,b39e,b2cf,b219,b17b,b0f6,b088,b032,aff4,afcd,afbd,afc3,afe0,
b013,b05b,b0b9,b12b,b1b1,b24b,b2f9,b3b9,b48b,b56f,b664,b769,b87d,b9a1,bad4,bc14,
bd62,bebc,c021,c192,c30e,c493,c621,c7b8,c956,cafc,cca7,ce59,d00f,d1ca,d388,d549,
d70c,d8d1,da97,dc5e,de24,dfe9,e1ad,e36f,e52e,e6ea,e8a3,ea57,ec07,edb2,ef57,f0f6,
f28e,f420,f5ab,f72e,f8a8,fa1b,fb85,fce6,fe3f,ff8d,00d2,020e,033f,0466,0583,0696,
079e,089b,098e,0a76,0b53,0c26,0ced,0daa,0e5d,0f04,0fa2,1034,10bc,113a,11ae,1218,
1278,12ce,131b,135f,1399,13cb,13f4,1414,142c,143c,1445,1446,143f,1432,141e,1403,
13e3,13bc,1390,135e,1327,12ec,12ac,1268,121f,11d4,1184,1131,10dc,1083,1029,0fcc,
0f6d,0f0d,0eab,0e48,0de4,0d7f,0d19,0cb4,0c4e,0be8,0b82,0b1c,0ab7,0a53,09f0,098d,
092c,08cc,086d,0810,07b5,075b,0703,06ad,0658,0606,05b6,0568,051c,04d3,048a,0445,
0403,03c2,0383,0347,030e,02d7,02a2,026f,023f,0210,01e5,01bb,0193,016e,014a,05ec;

b. config_0 m_fir_bandpass_2_1m_1k_10k_10k_20k_512.coe 采样频率 2.1MHz 10KHz 带通滤波器 MATLAB 设置

在这里插入图片描述

c. config_1 m_fir_bandpass_2_1m_20k_30k_30k_40k_512.coe 采样频率 2.1MHz 30KHz 带通滤波器 COE 文件
; XILINX CORE Generator(tm)Distributed Arithmetic FIR filter coefficient (.COE) File
; Generated by MATLAB(R) 9.7 and DSP System Toolbox 9.9.
; Generated on: 18-Jun-2022 10:15:56
Radix = 16; 
Coefficient_Width = 16; 
CoefData = 
00cd,fce2,feab,ff02,ff05,fef5,fee1,fecd,febb,feaa,fe9c,fe90,fe87,fe81,fe80,fe82,
fe89,fe94,fea4,feb9,fed3,fef3,ff18,ff43,ff73,ffa8,ffe2,0021,0065,00ad,00f8,0146,
0197,01ea,023d,0291,02e4,0336,0385,03d1,0419,045b,0497,04cc,04f8,051b,0534,0542,
0544,053a,0523,04fe,04cb,048a,043b,03de,0372,02f8,0270,01dc,013b,0090,ffd9,ff1a,
fe53,fd86,fcb4,fbe0,fb0a,fa35,f964,f897,f7d1,f715,f664,f5c1,f52e,f4ac,f43e,f3e6,
f3a5,f37d,f36f,f37d,f3a7,f3ef,f455,f4d9,f57b,f63b,f718,f812,f927,fa56,fb9e,fcfb,
fe6d,fff0,0181,031e,04c3,066c,0817,09c0,0b62,0cf9,0e82,0ffa,115b,12a2,13cb,14d3,
15b6,1672,1703,1767,179b,179d,176d,1709,166f,15a1,149e,1367,11fd,1062,0e98,0ca2,
0a82,083d,05d7,0353,00b6,fe07,fb49,f883,f5bb,f2f7,f03c,ed92,eafe,e887,e632,e406,
e208,e03e,dead,dd59,dc48,db7b,daf8,dac0,dad6,db3c,dbf1,dcf6,de4b,dfee,e1de,e417,
e696,e957,ec56,ef8d,f2f7,f68c,fa46,fe1e,020b,0606,0a06,0e03,11f4,15d0,198f,1d28,
2093,23c7,26be,296f,2bd4,2de7,2fa1,30ff,31fa,3291,32c1,3286,31e2,30d3,2f5b,2d7a,
2b35,288e,258b,222f,1e82,1a8b,1650,11db,0d33,0864,0375,fe72,f965,f459,ef58,ea6d,
e5a3,e105,dc9c,d872,d492,d103,cdce,cafb,c88f,c692,c509,c3f6,c35f,c343,c3a6,c486,
c5e3,c7ba,ca08,ccca,cff9,d390,d788,dbd9,e079,e561,ea84,efda,f556,faee,0095,0640,
0be3,1171,16df,1c22,212e,25f8,2a77,2ea0,326a,35ce,38c4,3b45,3d4d,3ed7,3fdf,4064,
4064,3fdf,3ed7,3d4d,3b45,38c4,35ce,326a,2ea0,2a77,25f8,212e,1c22,16df,1171,0be3,
0640,0095,faee,f556,efda,ea84,e561,e079,dbd9,d788,d390,cff9,ccca,ca08,c7ba,c5e3,
c486,c3a6,c343,c35f,c3f6,c509,c692,c88f,cafb,cdce,d103,d492,d872,dc9c,e105,e5a3,
ea6d,ef58,f459,f965,fe72,0375,0864,0d33,11db,1650,1a8b,1e82,222f,258b,288e,2b35,
2d7a,2f5b,30d3,31e2,3286,32c1,3291,31fa,30ff,2fa1,2de7,2bd4,296f,26be,23c7,2093,
1d28,198f,15d0,11f4,0e03,0a06,0606,020b,fe1e,fa46,f68c,f2f7,ef8d,ec56,e957,e696,
e417,e1de,dfee,de4b,dcf6,dbf1,db3c,dad6,dac0,daf8,db7b,dc48,dd59,dead,e03e,e208,
e406,e632,e887,eafe,ed92,f03c,f2f7,f5bb,f883,fb49,fe07,00b6,0353,05d7,083d,0a82,
0ca2,0e98,1062,11fd,1367,149e,15a1,166f,1709,176d,179d,179b,1767,1703,1672,15b6,
14d3,13cb,12a2,115b,0ffa,0e82,0cf9,0b62,09c0,0817,066c,04c3,031e,0181,fff0,fe6d,
fcfb,fb9e,fa56,f927,f812,f718,f63b,f57b,f4d9,f455,f3ef,f3a7,f37d,f36f,f37d,f3a5,
f3e6,f43e,f4ac,f52e,f5c1,f664,f715,f7d1,f897,f964,fa35,fb0a,fbe0,fcb4,fd86,fe53,
ff1a,ffd9,0090,013b,01dc,0270,02f8,0372,03de,043b,048a,04cb,04fe,0523,053a,0544,
0542,0534,051b,04f8,04cc,0497,045b,0419,03d1,0385,0336,02e4,0291,023d,01ea,0197,
0146,00f8,00ad,0065,0021,ffe2,ffa8,ff73,ff43,ff18,fef3,fed3,feb9,fea4,fe94,fe89,
fe82,fe80,fe81,fe87,fe90,fe9c,feaa,febb,fecd,fee1,fef5,ff05,ff02,feab,fce2,00cd;

d. config_1 m_fir_bandpass_2_1m_20k_30k_30k_40k_512.coe 采样频率 2.1MHz 30KHz 带通滤波器 MATLAB 设置

在这里插入图片描述

e. config_2 m_fir_bandpass_2_1m_40k_50k_50k_60k_512.coe 采样频率 2.1MHz 50KHz 带通滤波器 COE 文件
; XILINX CORE Generator(tm)Distributed Arithmetic FIR filter coefficient (.COE) File
; Generated by MATLAB(R) 9.7 and DSP System Toolbox 9.9.
; Generated on: 18-Jun-2022 10:16:48
Radix = 16; 
Coefficient_Width = 16; 
CoefData = 
03d7,066b,ff6a,0334,0166,0265,01e2,020e,01c9,01a7,015a,010a,00a4,0034,ffb7,ff31,
fea3,fe12,fd81,fcf3,fc6d,fbf3,fb8a,fb34,faf7,fad6,fad2,faef,fb2f,fb93,fc1b,fcc4,
fd8e,fe76,ff79,0091,01b9,02e9,041c,054b,066c,0777,0866,0930,09cd,0a37,0a69,0a5e,
0a12,0984,08b3,07a0,064e,04c3,0304,011a,ff0e,fceb,fabc,f891,f675,f476,f2a3,f108,
efb3,eeb1,ee09,edc5,eded,ee84,ef8b,f102,f2e5,f52e,f7d2,fac7,fdfe,0165,04ec,087c,
0c02,0f68,1296,1579,17fa,1a07,1b8f,1c82,1cd6,1c81,1b7f,19d0,1776,1479,10e6,0cca,
083c,0351,fe24,f8d1,f376,ee35,e92d,e47e,e047,dca7,d9b7,d78f,d644,d5e3,d677,d805,
da8c,de03,e25f,e78d,ed74,f3f7,faf3,0245,09c1,113d,188d,1f84,25f6,2bb8,30a5,3498,
3773,391e,3987,38a2,366b,32e8,2e23,2831,212d,193a,107f,072d,fd76,f391,e9b7,e023,
d70d,ceb1,c741,c0f0,bbe9,b852,b647,b5de,b722,ba14,beac,c4d9,cc7e,d576,df94,eaa3,
f665,029a,0efd,1b45,272b,3266,3cb3,45d0,4d82,5397,57e2,5a44,5aa6,58fe,554b,4f9b,
4807,3eb4,33d0,2796,1a47,0c2d,fd96,eed7,e044,d233,c4f8,b8e3,ae3d,a54c,9e47,995e,
96b5,9662,9870,9cd8,a38a,ac64,b73a,c3d2,d1e9,e131,f153,01f6,12b9,233c,331e,4201,
4f8d,5b6f,6560,6d20,727e,7556,7592,732a,6e29,66a4,5cc4,50bc,42d0,334b,2285,10dd,
feb8,ec7e,da98,c96f,b967,aadd,9e29,9395,8b63,85c3,82da,82ba,8569,8ad8,92ec,9d77,
aa3f,b8fc,c95a,dafc,ed7d,0072,136e,2605,37c9,4854,5747,644b,6f14,7764,7d0a,7fe5,
7fe5,7d0a,7764,6f14,644b,5747,4854,37c9,2605,136e,0072,ed7d,dafc,c95a,b8fc,aa3f,
9d77,92ec,8ad8,8569,82ba,82da,85c3,8b63,9395,9e29,aadd,b967,c96f,da98,ec7e,feb8,
10dd,2285,334b,42d0,50bc,5cc4,66a4,6e29,732a,7592,7556,727e,6d20,6560,5b6f,4f8d,
4201,331e,233c,12b9,01f6,f153,e131,d1e9,c3d2,b73a,ac64,a38a,9cd8,9870,9662,96b5,
995e,9e47,a54c,ae3d,b8e3,c4f8,d233,e044,eed7,fd96,0c2d,1a47,2796,33d0,3eb4,4807,
4f9b,554b,58fe,5aa6,5a44,57e2,5397,4d82,45d0,3cb3,3266,272b,1b45,0efd,029a,f665,
eaa3,df94,d576,cc7e,c4d9,beac,ba14,b722,b5de,b647,b852,bbe9,c0f0,c741,ceb1,d70d,
e023,e9b7,f391,fd76,072d,107f,193a,212d,2831,2e23,32e8,366b,38a2,3987,391e,3773,
3498,30a5,2bb8,25f6,1f84,188d,113d,09c1,0245,faf3,f3f7,ed74,e78d,e25f,de03,da8c,
d805,d677,d5e3,d644,d78f,d9b7,dca7,e047,e47e,e92d,ee35,f376,f8d1,fe24,0351,083c,
0cca,10e6,1479,1776,19d0,1b7f,1c81,1cd6,1c82,1b8f,1a07,17fa,1579,1296,0f68,0c02,
087c,04ec,0165,fdfe,fac7,f7d2,f52e,f2e5,f102,ef8b,ee84,eded,edc5,ee09,eeb1,efb3,
f108,f2a3,f476,f675,f891,fabc,fceb,ff0e,011a,0304,04c3,064e,07a0,08b3,0984,0a12,
0a5e,0a69,0a37,09cd,0930,0866,0777,066c,054b,041c,02e9,01b9,0091,ff79,fe76,fd8e,
fcc4,fc1b,fb93,fb2f,faef,fad2,fad6,faf7,fb34,fb8a,fbf3,fc6d,fcf3,fd81,fe12,fea3,
ff31,ffb7,0034,00a4,010a,015a,01a7,01c9,020e,01e2,0265,0166,0334,ff6a,066b,03d7;

f. config_2 m_fir_bandpass_2_1m_40k_50k_50k_60k_512.coe 采样频率 2.1MHz 50KHz 带通滤波器 MATLAB 设置

在这里插入图片描述

g. config_3 m_fir_bandpass_2_1m_60k_70k_70k_80k_512.coe 采样频率 2.1MHz 70KHz 带通滤波器 COE 文件
; XILINX CORE Generator(tm)Distributed Arithmetic FIR filter coefficient (.COE) File
; Generated by MATLAB(R) 9.7 and DSP System Toolbox 9.9.
; Generated on: 18-Jun-2022 10:17:17
Radix = 16; 
Coefficient_Width = 16; 
CoefData = 
fc53,ff62,ff63,ff6e,ff85,ffa6,ffd2,0008,0044,0086,00c9,010c,0149,017f,01a8,01c3,
01ca,01bf,019f,0168,011e,00c0,0052,ffd8,ff58,fed6,fe58,fde6,fd85,fd3c,fd10,fd04,
fd1c,fd59,fdbc,fe41,fee5,ffa3,0072,014c,0225,02f4,03ad,0449,04bb,04fe,050a,04dd,
0473,03cf,02f5,01ec,00bd,ff74,fe1f,fccd,fb8e,fa71,f987,f8db,f87a,f86c,f8b6,f95a,
fa53,fb9c,fd2a,feec,00d2,02c7,04b4,0683,081c,096c,0a5e,0ae3,0af1,0a81,0992,082b,
0654,0421,01a5,fefb,fc3f,f991,f711,f4dd,f312,f1ca,f117,f10a,f1a8,f2f2,f4de,f75e,
fa5a,fdb3,0148,04f0,0882,0bd4,0ebd,1118,12c5,13aa,13b6,12e1,112d,0ea6,0b61,077e,
0325,fe84,f9cd,f536,f0f6,ed3f,ea40,e821,e703,e6f8,e80b,ea37,ed6b,f18b,f66e,fbe2,
01ac,078d,0d42,1288,1720,1ad1,1d6a,1ec7,1ecf,1d7a,1acd,16de,11d3,0bde,053c,fe37,
f71a,f036,e9dd,e458,dfec,dcd3,db35,db2f,dcca,dffc,e4aa,eaa8,f1b6,f98d,01d5,0a34,
124a,19bb,202e,2556,28f1,2ad0,2ad3,28f4,253d,1fd1,18e5,10c1,07bc,fe39,f4a0,eb5f,
e2df,db85,d5a8,d190,cf74,cf72,d192,d5c6,dbe5,e3b2,ecd9,f6f9,01a2,0c5e,16b3,202c,
285a,2edd,3366,35bb,35bc,3361,2ebd,27ff,1f6b,155f,0a47,fe9d,f2e3,e79e,dd4e,d46a,
cd59,c86f,c5e9,c5e9,c875,cd76,d4b7,ddee,e8b5,f497,010f,0d94,1997,2491,2e04,3584,
3ab8,3d63,3d63,3ab3,356e,2dcc,241f,18d1,0c60,ff56,f244,e5bf,da52,d07f,c8b7,c352,
c08f,c08f,c355,c8c2,d09d,da8d,e625,f2e4,003a,0d93,1a58,25fb,2ff8,37e0,3d58,4024,
4024,3d58,37e0,2ff8,25fb,1a58,0d93,003a,f2e4,e625,da8d,d09d,c8c2,c355,c08f,c08f,
c352,c8b7,d07f,da52,e5bf,f244,ff56,0c60,18d1,241f,2dcc,356e,3ab3,3d63,3d63,3ab8,
3584,2e04,2491,1997,0d94,010f,f497,e8b5,ddee,d4b7,cd76,c875,c5e9,c5e9,c86f,cd59,
d46a,dd4e,e79e,f2e3,fe9d,0a47,155f,1f6b,27ff,2ebd,3361,35bc,35bb,3366,2edd,285a,
202c,16b3,0c5e,01a2,f6f9,ecd9,e3b2,dbe5,d5c6,d192,cf72,cf74,d190,d5a8,db85,e2df,
eb5f,f4a0,fe39,07bc,10c1,18e5,1fd1,253d,28f4,2ad3,2ad0,28f1,2556,202e,19bb,124a,
0a34,01d5,f98d,f1b6,eaa8,e4aa,dffc,dcca,db2f,db35,dcd3,dfec,e458,e9dd,f036,f71a,
fe37,053c,0bde,11d3,16de,1acd,1d7a,1ecf,1ec7,1d6a,1ad1,1720,1288,0d42,078d,01ac,
fbe2,f66e,f18b,ed6b,ea37,e80b,e6f8,e703,e821,ea40,ed3f,f0f6,f536,f9cd,fe84,0325,
077e,0b61,0ea6,112d,12e1,13b6,13aa,12c5,1118,0ebd,0bd4,0882,04f0,0148,fdb3,fa5a,
f75e,f4de,f2f2,f1a8,f10a,f117,f1ca,f312,f4dd,f711,f991,fc3f,fefb,01a5,0421,0654,
082b,0992,0a81,0af1,0ae3,0a5e,096c,081c,0683,04b4,02c7,00d2,feec,fd2a,fb9c,fa53,
f95a,f8b6,f86c,f87a,f8db,f987,fa71,fb8e,fccd,fe1f,ff74,00bd,01ec,02f5,03cf,0473,
04dd,050a,04fe,04bb,0449,03ad,02f4,0225,014c,0072,ffa3,fee5,fe41,fdbc,fd59,fd1c,
fd04,fd10,fd3c,fd85,fde6,fe58,fed6,ff58,ffd8,0052,00c0,011e,0168,019f,01bf,01ca,
01c3,01a8,017f,0149,010c,00c9,0086,0044,0008,ffd2,ffa6,ff85,ff6e,ff63,ff62,fc53;

h. config_4 m_fir_bandpass_2_1m_60k_70k_70k_80k_512.coe 采样频率 2.1MHz 70KHz 带通滤波器 MATLAB 设置

在这里插入图片描述

i 将上述四组系数合并后的文件 fir_bandpass_1m_512_x4.coe
; XILINX CORE Generator(tm)Distributed Arithmetic FIR filter coefficient (.COE) File
; Generated by MATLAB(R) 9.7 and DSP System Toolbox 9.9.
; Generated on: 01-Jun-2022 16:04:26
Radix = 16; 
Coefficient_Width = 16; 
CoefData = 
05ec,014a,016e,0193,01bb,01e5,0210,023f,026f,02a2,02d7,030e,0347,0383,03c2,0403,
0445,048a,04d3,051c,0568,05b6,0606,0658,06ad,0703,075b,07b5,0810,086d,08cc,092c,
098d,09f0,0a53,0ab7,0b1c,0b82,0be8,0c4e,0cb4,0d19,0d7f,0de4,0e48,0eab,0f0d,0f6d,
0fcc,1029,1083,10dc,1131,1184,11d4,121f,1268,12ac,12ec,1327,135e,1390,13bc,13e3,
1403,141e,1432,143f,1446,1445,143c,142c,1414,13f4,13cb,1399,135f,131b,12ce,1278,
1218,11ae,113a,10bc,1034,0fa2,0f04,0e5d,0daa,0ced,0c26,0b53,0a76,098e,089b,079e,
0696,0583,0466,033f,020e,00d2,ff8d,fe3f,fce6,fb85,fa1b,f8a8,f72e,f5ab,f420,f28e,
f0f6,ef57,edb2,ec07,ea57,e8a3,e6ea,e52e,e36f,e1ad,dfe9,de24,dc5e,da97,d8d1,d70c,
d549,d388,d1ca,d00f,ce59,cca7,cafc,c956,c7b8,c621,c493,c30e,c192,c021,bebc,bd62,
bc14,bad4,b9a1,b87d,b769,b664,b56f,b48b,b3b9,b2f9,b24b,b1b1,b12b,b0b9,b05b,b013,
afe0,afc3,afbd,afcd,aff4,b032,b088,b0f6,b17b,b219,b2cf,b39e,b485,b584,b69c,b7cc,
b915,ba76,bbef,bd80,bf28,c0e9,c2c0,c4af,c6b3,c8ce,caff,cd45,cfa0,d20f,d492,d728,
d9d0,dc8b,df56,e232,e51d,e818,eb20,ee36,f158,f486,f7be,fb00,fe4b,019d,04f7,0856,
0bba,0f22,128d,15fa,1967,1cd3,203f,23a7,270d,2a6d,2dc8,311b,3467,37aa,3ae3,3e11,
4133,4447,474d,4a45,4d2b,5001,52c5,5576,5813,5a9b,5d0d,5f69,61ae,63da,65ee,67e8,
69c9,6b8e,6d38,6ec6,7037,718c,72c3,73dc,74d7,75b3,7670,770e,778d,77ec,782c,784c,
784c,782c,77ec,778d,770e,7670,75b3,74d7,73dc,72c3,718c,7037,6ec6,6d38,6b8e,69c9,
67e8,65ee,63da,61ae,5f69,5d0d,5a9b,5813,5576,52c5,5001,4d2b,4a45,474d,4447,4133,
3e11,3ae3,37aa,3467,311b,2dc8,2a6d,270d,23a7,203f,1cd3,1967,15fa,128d,0f22,0bba,
0856,04f7,019d,fe4b,fb00,f7be,f486,f158,ee36,eb20,e818,e51d,e232,df56,dc8b,d9d0,
d728,d492,d20f,cfa0,cd45,caff,c8ce,c6b3,c4af,c2c0,c0e9,bf28,bd80,bbef,ba76,b915,
b7cc,b69c,b584,b485,b39e,b2cf,b219,b17b,b0f6,b088,b032,aff4,afcd,afbd,afc3,afe0,
b013,b05b,b0b9,b12b,b1b1,b24b,b2f9,b3b9,b48b,b56f,b664,b769,b87d,b9a1,bad4,bc14,
bd62,bebc,c021,c192,c30e,c493,c621,c7b8,c956,cafc,cca7,ce59,d00f,d1ca,d388,d549,
d70c,d8d1,da97,dc5e,de24,dfe9,e1ad,e36f,e52e,e6ea,e8a3,ea57,ec07,edb2,ef57,f0f6,
f28e,f420,f5ab,f72e,f8a8,fa1b,fb85,fce6,fe3f,ff8d,00d2,020e,033f,0466,0583,0696,
079e,089b,098e,0a76,0b53,0c26,0ced,0daa,0e5d,0f04,0fa2,1034,10bc,113a,11ae,1218,
1278,12ce,131b,135f,1399,13cb,13f4,1414,142c,143c,1445,1446,143f,1432,141e,1403,
13e3,13bc,1390,135e,1327,12ec,12ac,1268,121f,11d4,1184,1131,10dc,1083,1029,0fcc,
0f6d,0f0d,0eab,0e48,0de4,0d7f,0d19,0cb4,0c4e,0be8,0b82,0b1c,0ab7,0a53,09f0,098d,
092c,08cc,086d,0810,07b5,075b,0703,06ad,0658,0606,05b6,0568,051c,04d3,048a,0445,
0403,03c2,0383,0347,030e,02d7,02a2,026f,023f,0210,01e5,01bb,0193,016e,014a,05ec,
00cd,fce2,feab,ff02,ff05,fef5,fee1,fecd,febb,feaa,fe9c,fe90,fe87,fe81,fe80,fe82,
fe89,fe94,fea4,feb9,fed3,fef3,ff18,ff43,ff73,ffa8,ffe2,0021,0065,00ad,00f8,0146,
0197,01ea,023d,0291,02e4,0336,0385,03d1,0419,045b,0497,04cc,04f8,051b,0534,0542,
0544,053a,0523,04fe,04cb,048a,043b,03de,0372,02f8,0270,01dc,013b,0090,ffd9,ff1a,
fe53,fd86,fcb4,fbe0,fb0a,fa35,f964,f897,f7d1,f715,f664,f5c1,f52e,f4ac,f43e,f3e6,
f3a5,f37d,f36f,f37d,f3a7,f3ef,f455,f4d9,f57b,f63b,f718,f812,f927,fa56,fb9e,fcfb,
fe6d,fff0,0181,031e,04c3,066c,0817,09c0,0b62,0cf9,0e82,0ffa,115b,12a2,13cb,14d3,
15b6,1672,1703,1767,179b,179d,176d,1709,166f,15a1,149e,1367,11fd,1062,0e98,0ca2,
0a82,083d,05d7,0353,00b6,fe07,fb49,f883,f5bb,f2f7,f03c,ed92,eafe,e887,e632,e406,
e208,e03e,dead,dd59,dc48,db7b,daf8,dac0,dad6,db3c,dbf1,dcf6,de4b,dfee,e1de,e417,
e696,e957,ec56,ef8d,f2f7,f68c,fa46,fe1e,020b,0606,0a06,0e03,11f4,15d0,198f,1d28,
2093,23c7,26be,296f,2bd4,2de7,2fa1,30ff,31fa,3291,32c1,3286,31e2,30d3,2f5b,2d7a,
2b35,288e,258b,222f,1e82,1a8b,1650,11db,0d33,0864,0375,fe72,f965,f459,ef58,ea6d,
e5a3,e105,dc9c,d872,d492,d103,cdce,cafb,c88f,c692,c509,c3f6,c35f,c343,c3a6,c486,
c5e3,c7ba,ca08,ccca,cff9,d390,d788,dbd9,e079,e561,ea84,efda,f556,faee,0095,0640,
0be3,1171,16df,1c22,212e,25f8,2a77,2ea0,326a,35ce,38c4,3b45,3d4d,3ed7,3fdf,4064,
4064,3fdf,3ed7,3d4d,3b45,38c4,35ce,326a,2ea0,2a77,25f8,212e,1c22,16df,1171,0be3,
0640,0095,faee,f556,efda,ea84,e561,e079,dbd9,d788,d390,cff9,ccca,ca08,c7ba,c5e3,
c486,c3a6,c343,c35f,c3f6,c509,c692,c88f,cafb,cdce,d103,d492,d872,dc9c,e105,e5a3,
ea6d,ef58,f459,f965,fe72,0375,0864,0d33,11db,1650,1a8b,1e82,222f,258b,288e,2b35,
2d7a,2f5b,30d3,31e2,3286,32c1,3291,31fa,30ff,2fa1,2de7,2bd4,296f,26be,23c7,2093,
1d28,198f,15d0,11f4,0e03,0a06,0606,020b,fe1e,fa46,f68c,f2f7,ef8d,ec56,e957,e696,
e417,e1de,dfee,de4b,dcf6,dbf1,db3c,dad6,dac0,daf8,db7b,dc48,dd59,dead,e03e,e208,
e406,e632,e887,eafe,ed92,f03c,f2f7,f5bb,f883,fb49,fe07,00b6,0353,05d7,083d,0a82,
0ca2,0e98,1062,11fd,1367,149e,15a1,166f,1709,176d,179d,179b,1767,1703,1672,15b6,
14d3,13cb,12a2,115b,0ffa,0e82,0cf9,0b62,09c0,0817,066c,04c3,031e,0181,fff0,fe6d,
fcfb,fb9e,fa56,f927,f812,f718,f63b,f57b,f4d9,f455,f3ef,f3a7,f37d,f36f,f37d,f3a5,
f3e6,f43e,f4ac,f52e,f5c1,f664,f715,f7d1,f897,f964,fa35,fb0a,fbe0,fcb4,fd86,fe53,
ff1a,ffd9,0090,013b,01dc,0270,02f8,0372,03de,043b,048a,04cb,04fe,0523,053a,0544,
0542,0534,051b,04f8,04cc,0497,045b,0419,03d1,0385,0336,02e4,0291,023d,01ea,0197,
0146,00f8,00ad,0065,0021,ffe2,ffa8,ff73,ff43,ff18,fef3,fed3,feb9,fea4,fe94,fe89,
fe82,fe80,fe81,fe87,fe90,fe9c,feaa,febb,fecd,fee1,fef5,ff05,ff02,feab,fce2,00cd,
03d7,066b,ff6a,0334,0166,0265,01e2,020e,01c9,01a7,015a,010a,00a4,0034,ffb7,ff31,
fea3,fe12,fd81,fcf3,fc6d,fbf3,fb8a,fb34,faf7,fad6,fad2,faef,fb2f,fb93,fc1b,fcc4,
fd8e,fe76,ff79,0091,01b9,02e9,041c,054b,066c,0777,0866,0930,09cd,0a37,0a69,0a5e,
0a12,0984,08b3,07a0,064e,04c3,0304,011a,ff0e,fceb,fabc,f891,f675,f476,f2a3,f108,
efb3,eeb1,ee09,edc5,eded,ee84,ef8b,f102,f2e5,f52e,f7d2,fac7,fdfe,0165,04ec,087c,
0c02,0f68,1296,1579,17fa,1a07,1b8f,1c82,1cd6,1c81,1b7f,19d0,1776,1479,10e6,0cca,
083c,0351,fe24,f8d1,f376,ee35,e92d,e47e,e047,dca7,d9b7,d78f,d644,d5e3,d677,d805,
da8c,de03,e25f,e78d,ed74,f3f7,faf3,0245,09c1,113d,188d,1f84,25f6,2bb8,30a5,3498,
3773,391e,3987,38a2,366b,32e8,2e23,2831,212d,193a,107f,072d,fd76,f391,e9b7,e023,
d70d,ceb1,c741,c0f0,bbe9,b852,b647,b5de,b722,ba14,beac,c4d9,cc7e,d576,df94,eaa3,
f665,029a,0efd,1b45,272b,3266,3cb3,45d0,4d82,5397,57e2,5a44,5aa6,58fe,554b,4f9b,
4807,3eb4,33d0,2796,1a47,0c2d,fd96,eed7,e044,d233,c4f8,b8e3,ae3d,a54c,9e47,995e,
96b5,9662,9870,9cd8,a38a,ac64,b73a,c3d2,d1e9,e131,f153,01f6,12b9,233c,331e,4201,
4f8d,5b6f,6560,6d20,727e,7556,7592,732a,6e29,66a4,5cc4,50bc,42d0,334b,2285,10dd,
feb8,ec7e,da98,c96f,b967,aadd,9e29,9395,8b63,85c3,82da,82ba,8569,8ad8,92ec,9d77,
aa3f,b8fc,c95a,dafc,ed7d,0072,136e,2605,37c9,4854,5747,644b,6f14,7764,7d0a,7fe5,
7fe5,7d0a,7764,6f14,644b,5747,4854,37c9,2605,136e,0072,ed7d,dafc,c95a,b8fc,aa3f,
9d77,92ec,8ad8,8569,82ba,82da,85c3,8b63,9395,9e29,aadd,b967,c96f,da98,ec7e,feb8,
10dd,2285,334b,42d0,50bc,5cc4,66a4,6e29,732a,7592,7556,727e,6d20,6560,5b6f,4f8d,
4201,331e,233c,12b9,01f6,f153,e131,d1e9,c3d2,b73a,ac64,a38a,9cd8,9870,9662,96b5,
995e,9e47,a54c,ae3d,b8e3,c4f8,d233,e044,eed7,fd96,0c2d,1a47,2796,33d0,3eb4,4807,
4f9b,554b,58fe,5aa6,5a44,57e2,5397,4d82,45d0,3cb3,3266,272b,1b45,0efd,029a,f665,
eaa3,df94,d576,cc7e,c4d9,beac,ba14,b722,b5de,b647,b852,bbe9,c0f0,c741,ceb1,d70d,
e023,e9b7,f391,fd76,072d,107f,193a,212d,2831,2e23,32e8,366b,38a2,3987,391e,3773,
3498,30a5,2bb8,25f6,1f84,188d,113d,09c1,0245,faf3,f3f7,ed74,e78d,e25f,de03,da8c,
d805,d677,d5e3,d644,d78f,d9b7,dca7,e047,e47e,e92d,ee35,f376,f8d1,fe24,0351,083c,
0cca,10e6,1479,1776,19d0,1b7f,1c81,1cd6,1c82,1b8f,1a07,17fa,1579,1296,0f68,0c02,
087c,04ec,0165,fdfe,fac7,f7d2,f52e,f2e5,f102,ef8b,ee84,eded,edc5,ee09,eeb1,efb3,
f108,f2a3,f476,f675,f891,fabc,fceb,ff0e,011a,0304,04c3,064e,07a0,08b3,0984,0a12,
0a5e,0a69,0a37,09cd,0930,0866,0777,066c,054b,041c,02e9,01b9,0091,ff79,fe76,fd8e,
fcc4,fc1b,fb93,fb2f,faef,fad2,fad6,faf7,fb34,fb8a,fbf3,fc6d,fcf3,fd81,fe12,fea3,
ff31,ffb7,0034,00a4,010a,015a,01a7,01c9,020e,01e2,0265,0166,0334,ff6a,066b,03d7,
fc53,ff62,ff63,ff6e,ff85,ffa6,ffd2,0008,0044,0086,00c9,010c,0149,017f,01a8,01c3,
01ca,01bf,019f,0168,011e,00c0,0052,ffd8,ff58,fed6,fe58,fde6,fd85,fd3c,fd10,fd04,
fd1c,fd59,fdbc,fe41,fee5,ffa3,0072,014c,0225,02f4,03ad,0449,04bb,04fe,050a,04dd,
0473,03cf,02f5,01ec,00bd,ff74,fe1f,fccd,fb8e,fa71,f987,f8db,f87a,f86c,f8b6,f95a,
fa53,fb9c,fd2a,feec,00d2,02c7,04b4,0683,081c,096c,0a5e,0ae3,0af1,0a81,0992,082b,
0654,0421,01a5,fefb,fc3f,f991,f711,f4dd,f312,f1ca,f117,f10a,f1a8,f2f2,f4de,f75e,
fa5a,fdb3,0148,04f0,0882,0bd4,0ebd,1118,12c5,13aa,13b6,12e1,112d,0ea6,0b61,077e,
0325,fe84,f9cd,f536,f0f6,ed3f,ea40,e821,e703,e6f8,e80b,ea37,ed6b,f18b,f66e,fbe2,
01ac,078d,0d42,1288,1720,1ad1,1d6a,1ec7,1ecf,1d7a,1acd,16de,11d3,0bde,053c,fe37,
f71a,f036,e9dd,e458,dfec,dcd3,db35,db2f,dcca,dffc,e4aa,eaa8,f1b6,f98d,01d5,0a34,
124a,19bb,202e,2556,28f1,2ad0,2ad3,28f4,253d,1fd1,18e5,10c1,07bc,fe39,f4a0,eb5f,
e2df,db85,d5a8,d190,cf74,cf72,d192,d5c6,dbe5,e3b2,ecd9,f6f9,01a2,0c5e,16b3,202c,
285a,2edd,3366,35bb,35bc,3361,2ebd,27ff,1f6b,155f,0a47,fe9d,f2e3,e79e,dd4e,d46a,
cd59,c86f,c5e9,c5e9,c875,cd76,d4b7,ddee,e8b5,f497,010f,0d94,1997,2491,2e04,3584,
3ab8,3d63,3d63,3ab3,356e,2dcc,241f,18d1,0c60,ff56,f244,e5bf,da52,d07f,c8b7,c352,
c08f,c08f,c355,c8c2,d09d,da8d,e625,f2e4,003a,0d93,1a58,25fb,2ff8,37e0,3d58,4024,
4024,3d58,37e0,2ff8,25fb,1a58,0d93,003a,f2e4,e625,da8d,d09d,c8c2,c355,c08f,c08f,
c352,c8b7,d07f,da52,e5bf,f244,ff56,0c60,18d1,241f,2dcc,356e,3ab3,3d63,3d63,3ab8,
3584,2e04,2491,1997,0d94,010f,f497,e8b5,ddee,d4b7,cd76,c875,c5e9,c5e9,c86f,cd59,
d46a,dd4e,e79e,f2e3,fe9d,0a47,155f,1f6b,27ff,2ebd,3361,35bc,35bb,3366,2edd,285a,
202c,16b3,0c5e,01a2,f6f9,ecd9,e3b2,dbe5,d5c6,d192,cf72,cf74,d190,d5a8,db85,e2df,
eb5f,f4a0,fe39,07bc,10c1,18e5,1fd1,253d,28f4,2ad3,2ad0,28f1,2556,202e,19bb,124a,
0a34,01d5,f98d,f1b6,eaa8,e4aa,dffc,dcca,db2f,db35,dcd3,dfec,e458,e9dd,f036,f71a,
fe37,053c,0bde,11d3,16de,1acd,1d7a,1ecf,1ec7,1d6a,1ad1,1720,1288,0d42,078d,01ac,
fbe2,f66e,f18b,ed6b,ea37,e80b,e6f8,e703,e821,ea40,ed3f,f0f6,f536,f9cd,fe84,0325,
077e,0b61,0ea6,112d,12e1,13b6,13aa,12c5,1118,0ebd,0bd4,0882,04f0,0148,fdb3,fa5a,
f75e,f4de,f2f2,f1a8,f10a,f117,f1ca,f312,f4dd,f711,f991,fc3f,fefb,01a5,0421,0654,
082b,0992,0a81,0af1,0ae3,0a5e,096c,081c,0683,04b4,02c7,00d2,feec,fd2a,fb9c,fa53,
f95a,f8b6,f86c,f87a,f8db,f987,fa71,fb8e,fccd,fe1f,ff74,00bd,01ec,02f5,03cf,0473,
04dd,050a,04fe,04bb,0449,03ad,02f4,0225,014c,0072,ffa3,fee5,fe41,fdbc,fd59,fd1c,
fd04,fd10,fd3c,fd85,fde6,fe58,fed6,ff58,ffd8,0052,00c0,011e,0168,019f,01bf,01ca,
01c3,01a8,017f,0149,010c,00c9,0086,0044,0008,ffd2,ffa6,ff85,ff6e,ff63,ff62,fc53;

7. FIFO IP 设置

a. fifo_w64_r16 FIFO IP 设置

在这里插入图片描述
在这里插入图片描述

b. fifo_w16_r64 FIFO IP 设置

在这里插入图片描述
在这里插入图片描述

8. 相关 vivado 工程、IP 设置等详细文档连接,采用 Xilinx vivado 2017.4 版本

  • 4
    点赞
  • 20
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
vivado fir compiler 是 Vivado 设计套件中的一个工具,用于实现 FIR 滤波器。多通道用法指的是在使用 vivado fir compiler 时,同时处理多个通道的数据。 在使用 vivado fir compiler 进行多通道处理时,首先我们需要定义每个通道的滤波器系数和输入数据。可以通过 Vivado 的图形界面或者使用 Verilog/VHDL 代码来进行配置。每个通道的滤波器系数和输入数据可以是不同的,根据需求进行设置。 接下来,我们需要通过连接每个通道的滤波器,构建多通道滤波器的结构。可以使用 Vivado 带有的多路选择器、并行加法器等硬件逻辑电路来实现多通道并行处理。通过合理设计硬件的连接方式,可以同时处理多个通道的数据,提高处理效率。 在完成硬件连接后,我们需要设置 vivado fir compiler 的参数。可以根据需要定制每个通道的输入数据位宽、滤波器系数位宽、输出结果位宽等参数。根据这些参数,vivado fir compiler 将自动生成适应的滤波器结构和控制电路。 最后,我们需要将输入数据和滤波器系数通过合适的接口传入 vivado fir compiler,并获取滤波器的输出结果。可以使用 Vivado 提供的仿真工具进行测试,或者将设计下载到 FPGA 上进行实际验证。 总结来说,vivado fir compiler 多通道用法涉及到定义每个通道的滤波器系数和输入数据、构建多通道滤波器的结构、设置 vivado fir compiler 的参数以及传入数据并获取滤波器输出结果。通过合理配置和设计,可以实现并行处理多个通道的数据,提高系统的处理效率。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

老皮芽子

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值