牛客网verilog VL31 数据累加输出

`timescale 1ns/1ns

module valid_ready(
    input                 clk         ,   
    input                 rst_n        ,
    input        [7:0]    data_in        ,
    input                valid_a        ,//data_in的有效性
    input                 ready_b        ,//下游是否准备好接收本模块的输出数据
 
     output                 ready_a        ,//是否准备好接收上游数据
     output    reg            valid_b        ,//data_out的有效性
    output  reg [9:0]     data_out
);
    reg [1:0]cnt;
    //复位
    always@(negedge rst_n)begin
        if(!rst_n)begin
            cnt <= 0;
            valid_b <= 0;
            data_out <= 10'd0;
        end
        else begin
            cnt <= cnt;
        end
    end 
    //上游
    assign ready_a = !valid_b | ready_b;//下游 准备和输出有效,任意一个都可以拉高上游准备
    
    always@(posedge clk)begin
        if(valid_a && ready_a && (cnt!=2'd3) )begin
            cnt <= cnt + 1;    
        end
        else if(valid_a && ready_a && (cnt==2'd3))begin
            cnt <= 0;
        end
        else begin
            cnt <= cnt;
        end
    end
    
    always@(posedge clk)begin
        if(valid_a && ready_a && (cnt==2'd0))begin
            data_out <= data_in;
        end
        else if(valid_a && ready_a && (cnt!=2'd0))begin 
            data_out <= data_out + data_in; 
        end
        else begin
            data_out <= data_out;
        end
    end
    //下游
    always@(posedge clk)begin
        if(cnt == 2'd3 && valid_a && ready_a)begin//
            valid_b <= 1;
        end
        else if(valid_b && ready_b)begin
            valid_b <= 0;
        end
        else begin
            valid_b <= valid_b;
        end
    end
endmodule 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值