【牛客】异步复位的串联T触发器

描述

题目描述:           

用verilog实现两个串联的异步复位的T触发器的逻辑,结构如图:

信号示意图:

 

波形示意图:

输入描述:
输入信号   data, clk, rst
类型 wire
在testbench中,clk为周期5ns的时钟,rst为低电平复位

输出描述:

输出信号 q 
类型  reg 

`timescale 1ns/1ns
module Tff_2 (
input wire data, clk, rst,
output reg q  
);
//*************code***********//
reg q1;
wire d1,d2;

assign d1=data^q1;
assign d2=q1^q;

always@(posedge clk or negedge rst)
    begin
        if(!rst)
            begin
                q1<=0;
                q<=0;
            end
        else
            begin
                q1<=d1;
                q<=d2;
            end
    end 




//*************code***********//
endmodule

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

ོ栖落

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值