Verilog出现错误总结

1
错误:Error (10200): Verilog HDL Conditional Statement error at key_led.v(13): cannot match operand(s) in the condition to the corresponding edges in the enclosing event control of the always construct
代码:

always @ (negedge clk or posedge rst_n) begin    //错误出现在此处
    if(!rst_n)
        cnt <= 24'd0;
    else if(cnt < 24'd9_999_999)
        cnt <= cnt + 1'b1;
    else
        cnt <= 0;
end 

解决:
问题出现在把复位信号设置成上升触发,而后又判断是否为低电平。将其改成:

always @ (posedge clk or negedge rst_n) begin   
    if(!rst_n)
        cnt <= 24'd0;
    else if(cnt < 24'd9_999_999)
        cnt <= cnt + 1'b1;
    else
        cnt <= 0;
end 
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

St_up

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值