Verilog阻塞赋值和非阻塞赋值个人理解

代码为:

module block(a, b, l1, l2, l3, l4, clk);
input a, b;
input clk;
output reg l1, l2, l3, l4;
always@(posedge clk)
begin 
l1 = a;
l2 = l1;
end


always@(posedge clk)
begin
l3 <= a;
l4 <= l3;
end

endmodule


L1和L2采用阻塞赋值,L3,L4采用非阻塞赋值

波形中可以看出,阻塞赋值是L1、L2同时变,也就是说必须要把赋值完成了在下一步。

L3、L4与时许电路分析一样,上升沿来到时的前一刻,是啥下一刻就是啥


L4落后与L3一个CLK

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值