NCVerilog设计秘诀与点评

本文介绍了NCVerilog仿真器的特性,包括混合语言、混合级别和混合事件周期的透明仿真,外部接口如VHPI和VPI,以及其在代码覆盖率、库管理、仿真流程等方面的应用。详细讲解了ncverilog命令行选项、许可证管理、Tcl基础,同时阐述了如何利用SDF进行时序注解,并提供了设置环境和调试的实用建议。
摘要由CSDN通过智能技术生成

1. * S) ]8 E. K" x% Z
This approach allows completely transparent mixed language, mixed-level, and mixed cycle-event simulations. It also lays the foundation for mixed signal simulations. ' y+ l3 s- ?   E, q
+ C' J9 o+ Y4 C' w   c
2.External Interface:
0 o8 q- I, I2 F4 X* Y
(1) VHDL: VHPI,OMI
$ o% N5 c0 Q3 U3 }. [( Q(2) Verilog: PLI, VPI, OMI
% F9 z9 W1 G( J( [$ y
(Modelsim和VCS也有这个功能)
* q- K- l2 k+ K* D   {. C# t(PLI用的比较多,仿真器一般自带常用的PLI。) 8 s: s: o: u' _. a( y3 k( Z$ y
(如果需要添加 FSDB支持,需要将debussy提供的libpli.dll和libpli.lib拷贝到tools/lib下面)   _/ Z   {; m   X9 X+ ]; t   E7 Y

: H0 o! {3 ?. e! ~4 Y, { 3. After elaboration, Single executable code stream, Affirma NC Simulator; ) P# J) n. h% [" D

+ x7 Z/ ?4 c5 g2 r' O# {3 h4.
' q7 Y3 `3 L5 e* k, i9 /' vCode Coverage ???
: /; V" ]5 {. n9 W, P
- E) S* C* W) F4 k7 Y& _5. Verilog supported: $ e: Y1 k( @: f1 h/ j
(1) OVI 2.0; 3 `8 t0 [- N0 i- o
(2) IEEE 1364;
8 N% _( I, j9 n, [(3) Verilog-XL implementation;
   x1 w2 X9 l/ ]6 a3 w* }! ]( y
" g5 k0 D% A* }3 h- S; q6. NC-Verilog use: Library.Cell:View 0 [" {/ k" r, Z/ _4 }
cds.lib: This file contains statements that define your libraries and that map logical library names to physical directory paths. 7 S   f8 ~# `$ n8 L# h4 j% O+ W
hdl.var:This file defines which library is the work library. ( c3 i7 y6 e/ Y# T, F* q

$ @0 l( X& h- [7. You can write a setup.loc file to change the directories to search or to change the order of precedence to use when searching for the cds.lib and hdl.var files. & T& R$ o6 ]5 ?1 O+ f, [2 a

) j# u/ /" z, i( C8 B8. (仿真器的“构成-步骤”:ncvlog-parse,ncelab-elaborate,ncsim-simulate). {6 n/ o( s% [% u0 w" Q   S& Y
Single-step invocation: ncverilog : a parser called ncvlog; an elaborator called ncelab to build the model, and then invokes the ncsim simulator to simulate the model.
# A9 r/ v5 B6 ~8 JMulti-step invocation: invoke ncvlog, ncelab, and ncsim separately.
% R9 i0 h% z6 F5 h, K4 F8 U=> : G0 K3 k- p: o) A
The cell binding mechanism is the major difference between the two invocation methods.
" `' x% ]. N5 p0 ~
) U) o6 b6 g   I8 V9.ncpack:change the properties of a database to make it read-only or add-only. . E0 l& n1 q2 h) /; p" y3 `9 Y
inca.architecture.lib_version.pak
! ^5 f- N, R2 `7 ?1 C! H   Iinca.sun4v.091.pak
* [- T+ i4 p8 _! Oncls utility: list the objects contained in the library system,
1 w+ k- [% U* u. U
' `& c5 b. s4 ]+ j* o, s10. Library files protect:
. g9 w+ f6 ^9 u   V, L5 j( { (1) file locking mechanism: ncpack -unlock, to unlock a file
* _* Z1 D$ q9 o7 t' q* o(2) signal handling mechanism ensures that any unexpected event, 0 _! X8 i. A( g! F
+ S, C9 P5 r$ J: o0 T0 q) |
11. cdsdoc:
3 }- X, F5 }) k+ p8 Y% ]% bTo invoke the Cadence documentation window 3 a+ e1 M) k3 ?* j# x: L) M% J
tool_name -help
$ H' n& K( ~   e( h( _/ B( cnchelp [options] tool_name message_code ******* ; o' Q' y. D2 q# H   p6 M0 d" h
ncsim> help [help_options] [command | all [command_options]]
7 ]0 Y& M% /7 G4 Y, a
4 P0 C- a$ B8 Y& S& g11. ; R* X" ^& [! O; A- l
NCLaunch is a graphical user interface
( Q% R6 /& v9 t0 c) Enclaunch 3 e$ Q+ J: l3 S; c. O6 F( V
SimVision Waveform Viewer,
; S" O7 Z6 n# |9 U" ?* d* q0 /7 e" _1 i' r: y1 D' M* u/ Z
12.
8 E! K&

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值