Xilinx原语使用方法

目录

简介

1、 时钟组件

IBUF

IBUFDS

BUFG

BUFGCE

BUFGMUX

全局时钟资源的使用方法


简介

原语(Primitive),是Xilinx针对其器件特征开发的一系列常用模块的名字,用户可以将其看成Xilinx公司为用户提供的库函数,是芯片中的基本元件,代表FPGA中实际拥有的硬件逻辑单元,如LUT,D触发器,RAM等,相当于软件中的机器语言。在实现过程中的翻译步骤时,要将所有的设计单元都转译为目标器件中的基本元件,否则就是不可实现的。原语在设计中可以直接例化使用,是最直接的代码输入方式,其和HDL语言的关系,类似于汇编语言和C语言的关系。
Xilinx公司提供的原语,涵盖了FPGA开发的常用领域,但只有相应配置的硬件才能执行相应的原语,并不是所有的原语都可以在任何一款芯片上运行。在Verilog中使用原语非常简单,将其作为模块名直接例化即可。

Xilinx公司的原语按照功能分为10类,包括:计算组件、I/O端口组件、寄存器和锁存器、时钟组件、处理器组件、移位寄存器、配置和检测组件、RAM/ROM组件、Slice/CLB组件以及G比特收发器组件。下面分别对其进行详细介绍。

1、 时钟组件

目前,大型设计一般推荐使用同步时序电路。同步时序电路基于时钟触发沿设计,对时钟的周期、占空比、延时和抖动提出了更高的要求。为了满足同步时序设计的要求,一般在FPGA设计中采用全局时钟资源驱动设计的主时钟,以达到最低的时钟抖动和延迟。 FPGA 全局时钟资源一般使用全铜层工艺实现,并设计了专用时钟缓冲与驱动结构,从而使全局时钟到达芯片内部的所有可配置单元(CLB)、I/O单元(IOB)和选择性块RAM(Block Select RAM)的时延和抖动都为最小。为了适应复杂设计的需要,Xilinx的FPGA中集成的专用时钟资源与数字延迟锁相环(DLL)的数目不断增加,最新的 Virtex II器件最多可以提供16个全局时钟输入端口和8个数字时钟管理模块(DCM)。
与全局时钟资源相关的原语常用的与全局时钟资源相关的Xilinx器件原语包括:IBUFG、IBUFGDS、BUFG、BUFGP、BUFGCE、BUFGMUX、BUFGDLL和DCM等。

IBUF

单端输入缓冲,是与专用全局时钟输入管脚相连接的首级全局缓冲。所有从全局时钟管脚输入的信号必须经过IBUF元,否则在布局布线时会报错。

   IBUF #(
      .IBUF_LOW_PWR("TRUE"),  // Low power (TRUE) vs. performance (FALSE) setting for referenced I/O standards
      .IOSTANDARD("DEFAULT")  // Specify the input I/O standard
   ) IBUF_inst (
      .O(O),     // Buffer output
      .I(I)      // Buffer input (connect directly to top-level port)
   );

IBUFDS

差分输入缓冲,是IBUF的差分形式。

   IBUFDS IBUFDS_inst (
      .O(O),   // 1-bit output: Buffer output
      .I(I),   // 1-bit input: Diff_p buffer input (connect directly to top-level port)
      .IB(IB)  // 1-bit input: Diff_n buffer input (connect directly to top-level port)
   );

BUFG

全局缓冲,它的输入是IBUF的输出,BUFG的输出到达FPGA内部的IOB、CLB、选择性块RAM的时钟延迟和抖动最小。
BUFG是具有高扇出的全局时钟缓冲器,一般由综合器自动推断并使用,其和同类原语的RTL结构如图所示。全局时钟是具有高扇出驱动能力的缓冲器,可以将信号连到时钟抖动可以忽略不计的全局时钟网络,BUFG组件还可应用于典型的高扇出信号和网络,如复位信号和时钟使能信号。如果要对全局时钟实现PLL或DCM等时钟管理,则需要手动例化该缓冲器。其例化的代码模板如下所示:

// BUFG: 全局时钟缓存(Global Clock Buffer),只能以内部信号驱动
BUFG BUFG_inst (
     .O(O), //时钟缓存输出信号
     .I(I) // /时钟缓存输入信号
);

BUFG—RTL

BUFGCE

带有时钟使能端的全局时钟缓冲。它有一个输入I、一个使能端CE和一个输出端O。只有当BUFGCE的使能端CE有效(高电平)时,BUFGCE才有输出。  

   BUFGCE #(
      .CE_TYPE("SYNC"),      // ASYNC, HARDSYNC, SYNC
      .IS_CE_INVERTED(1'b0), // Programmable inversion on CE
      .IS_I_INVERTED(1'b0)   // Programmable on I
   )
   BUFGCE_inst (inversion
      .O(O),   // 1-bit output: Buffer
      .CE(CE), // 1-bit input: Buffer enable
      .I(I)    // 1-bit input: Buffer
   );

BUFGMUX

BUFGMUX - BUFGMUX_CTRL

全局时钟选择缓冲,它有I0和I1两个输入,一个控制端S,一个输出端O。当S为低电平时输出时钟为I0,反之为I1。BUFGMUX的应用十分灵活,I0和I1两个输入时钟甚至可以为异步关系。

   BUFGMUX #(
   )
   BUFGMUX_inst (
      .O(O),   // 1-bit output: Clock output
      .I0(I0), // 1-bit input: Clock input (S=0)
      .I1(I1), // 1-bit input: Clock input (S=1)
      .S(S)    // 1-bit input: Clock select
   );

BUFIO

IO端口本地时钟缓冲器。

   // BUFIO: Local Clock Buffer for I/O
   // Xilinx HDL Language Template, version 2019.1

   BUFIO BUFIO_inst (
      .O(O), // 1-bit output: Clock output (connect to I/O clock loads).
      .I(I)  // 1-bit input: Clock input (connect to an IBUF or BUFMR).
   );

全局时钟资源的使用方法

Logic + BUFG的使用方法:

BUFG不但可以驱动IBUFG的输出,还可以驱动其它普通信号的输出。当某个信号(时钟、使能、快速路径)的扇出非常大,并且要求抖动延迟最小时,可以使用BUFG驱动该信号,使该信号利用全局时钟资源。但需要注意的是,普通IO的输入或普通片内信号进入全局时钟布线层需要一个固有的延时,一般在 10ns左右,即普通IO和普通片内信号从输入到BUFG输出有一个约10ns左右的固有延时,但是BUFG的输出到片内所有单元(IOB、CLB、选择性块RAM)的延时可以忽略不计为“0”ns。

  • 8
    点赞
  • 78
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
cycbuffer原语是一种在FPGA设计中常用的原语,用于实现循环缓冲区。它可以用于存储和处理连续的数据流,并提供了一种有效的方式来处理数据的循环读写。cycbuffer原语通常由FPGA厂商提供,并且可以在设计中直接使用。 cycbuffer原语具有多个输入和输出端口,包括数据输入端口、数据输出端口、读指针输入端口、写指针输入端口和控制信号端口。通过控制信号,可以实现对循环缓冲区的读写操作。 以下是一个使用cycbuffer原语实现循环缓冲区的示例代码: ```verilog module cycbuffer ( input wire clk, input wire reset, input wire enable, input wire [DATA_WIDTH-1:0] data_in, input wire write_enable, input wire read_enable, input wire [ADDR_WIDTH-1:0] write_addr, input wire [ADDR_WIDTH-1:0] read_addr, output wire [DATA_WIDTH-1:0] data_out ); reg [DATA_WIDTH-1:0] buffer [0:DEPTH-1]; reg [ADDR_WIDTH-1:0] write_ptr; reg [ADDR_WIDTH-1:0] read_ptr; always @(posedge clk or posedge reset) begin if (reset) begin write_ptr <= 0; read_ptr <= 0; end else if (enable) begin if (write_enable) begin buffer[write_addr] <= data_in; write_ptr <= write_ptr + 1; end if (read_enable) begin data_out <= buffer[read_addr]; read_ptr <= read_ptr + 1; end end end endmodule ``` 在这个示例中,cycbuffer原语被定义为一个Verilog模块。它包含了一个深度为DEPTH的循环缓冲区,每个位置可以存储DATA_WIDTH位的数据。通过write_enable和read_enable信号,可以控制写入和读取操作。写入操作通过write_addr指定写入位置,读取操作通过read_addr指定读取位置。数据输入通过data_in端口,数据输出通过data_out端口。 使用cycbuffer原语可以方便地实现循环缓冲区,用于存储和处理连续的数据流。它在FPGA设计中具有广泛的应用,特别适用于需要处理大量数据的应用场景。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值