verilog timescale的两种仿真处理方法

1) 若所有的设计都使用同一个timescale,则可以不需要在每一个module前都定义timescale, 如使用cadence ius的irun仿真工具,则可加timescale选项,如:

   -timescale 1ps/1ps  +ncoverride_timescale

   则irun将强制将所有的timescale都设置为 1ps/1ps

2) 对于复杂的设计,为了加快仿真速度,可在不同模块设置中设置不同的timescale 单位和精度。例如时钟周期较大的模块的timescale可以设置的较大,加快该模块的仿真速度。
   编译时,需注意当前的timescale会一直有效,直到碰到新的一次timescale定义。所以对于第2种方式:建议每一个module都定义好timescale防止出错。

注意:对于第2种方式,切忌使用“-timescale 1ps/1ps  +ncoverride_timescale”。否则将引起仿真时序紊乱。

参考资料: Verilog-XL Reference

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值