VLSI Design课程笔记 (上)

Overview of VLSI Design Flow

Chip manufacturing process:
在这里插入图片描述
d e s i g n    s t y l e { f u l l    c o s t u m e :  d e s i g n    e v e r y t h i n g s t a n d a r d    c e l l    b a s e    d e s i g n   ( A S I C ) :  u s e    p r e − d e s i g n    g a t e design\,\, style \begin{cases} full\,\,costume &\text{: } design\,\, everything \\ standard\,\, cell\,\, base \,\,design\,(ASIC) &\text{: } use\,\, pre-design\,\, gate \end{cases} designstyle{fullcostumestandardcellbasedesign(ASIC) designeverything usepredesigngate
full costume: minimized area, power, maximized speed, expensive and long-period
ASIC: just cheap and short-period

Cost of an Integrated Circuit

cost per IC = variable cost per IC + (fixed cost / volume)
variable cost per IC=(cost of die +cost of test +cost of packaging)/final test yield
f i n a l    t e s t    Y i e l d    Y = N u m b e r    o f    g o o d    c h i p s    p e r    w a f e r T o t a l    n u m b e r    o f    c h i p    p e r    w a f e r ∗ 100 % D i e    c o s t = W a f e r    c o s t D i e s    p e r    w a f e r × D i e    y i e l d D i e s    p e r    w a f e r = π × ( w a f e r    d i a m e t e r 2 ) 2 d i e    a r e a − π × w a f e r    d i a m e t e r 2 × d i e    a r e a D i e    y i e l d = ( 1 + d e f e c t s    p e r    u n i t    a r e a × d i e    a r e a α ) − α α ≈ 3 final\;test\;Yield\,\, Y=\frac {Number\,\, of\,\, good \,\,chips\,\, per\,\, wafer}{Total\,\, number\,\, of\,\,chip\,\,per\,\,wafer} *100\%\\ Die \,\,cost=\frac {Wafer \,\,cost}{Dies\,\, per \,\,wafer \times Die \,\,yield}\\ Dies\,\,per\,\,wafer=\frac{\pi \times (\frac {wafer\,\,diameter} 2)^2}{die\,\, area} - \frac {\pi \times wafer\,\,diameter}{\sqrt{2 \times die \,\,area}}\\ \\ Die \,\,yield=(1+\frac {defects\,\,per\,\,unit\,\,area\times die\,\,area}{\alpha})^{-\alpha}\\ \alpha\approx3 finaltestYieldY=TotalnumberofchipperwaferNumberofgoodchipsperwafer100%Diecost=Diesperwafer×DieyieldWafercostDiesperwafer=dieareaπ×(2waferdiameter)22×diearea π×waferdiameterDieyield=(1+αdefectsperunitarea×diearea)αα3

Example:
we have a 4-inch wafer fab and an 8-inch wafer fab.
Calculate the cost per IC of each design at each fabrication plant (4 calculations, 2 per 4-inch and 2 per 8-inch). Identify the minimum cost fabrication facility for each design.
The microprocessor die size is 3.2 c m 2 cm^2 cm2 and the projected volume is 50,000,000 units over the lifetime of the product. The cost of packaging the microprocessor is $25.00 per part, cost of testing per part is $2.78, and the non-recurring engineering design cost is $200,000,000.00.
The ASIC design is 0.25 c m 2 0.25 cm^2 0.25cm2 and the projected volume is only 1,000,000 units. The cost of packaging the ASIC is $0.67, cost of testing is $0.69, and the design cost is $2,000,000.00.
For both designs, the functional test yield rate is 95% and α=3. Information on the fabrication options is listed in the table below.
在这里插入图片描述
Ans:
Microprocessor with 4-inch wafer:
cost per IC = variable cost per IC + (fixed cost / volume)=variable cost per IC + ( 2 ∗ 1 0 8 / 5 ∗ 1 0 7 2*10^8/5*10^7 2108/5107)
variable cost per IC= (cost of die +cost of test +cost of packaging)/final test yield
cost of test = 25
cost of packaging= 2.78
cost of die = W a f e r    c o s t D i e s    p e r    w a f e r × D i e    y i e l d = 150 D i e s    p e r    w a f e r × D i e    y i e l d =\frac {Wafer \,\,cost}{Dies\,\, per \,\,wafer \times Die \,\,yield}=\frac {150}{Dies\,\, per \,\,wafer \times Die \,\,yield} =Diesperwafer×DieyieldWafercost=Diesperwafer×Dieyield150
final test yield = 95%
D i e s    p e r    w a f e r = π × ( 10.16 2 ) 2 3.2 − π × 10.16 2 × 3.2 Dies\,\,per\,\,wafer=\frac{\pi \times (\frac {10.16} 2) ^2}{3.2} - \frac {\pi \times 10.16}{\sqrt{2 \times 3.2}} Diesperwafer=3.2π×(210.16)22×3.2 π×10.16
Die yield= ( 1 + d e f e c t s    p e r    u n i t    a r e a × d i e    a r e a α ) − α = ( 1 + 0.5 × 3.2 3 ) − 3 (1+\frac {defects\,\,per\,\,unit\,\,area\times die\,\,area}{\alpha})^{-\alpha}=(1+\frac {0.5\times3.2}{3})^{-3} (1+αdefectsperunitarea×diearea)α=(1+30.5×3.2)3

Die yield=0.28
Dies per wafer=12
final test yield = 95%
cost of die=44.64
cost of packaging=2.78
cost of test = 25
variable cost per IC = 76.23
cost per IC = 76.23+ 2 ∗ 1 0 8 / 5 ∗ 1 0 7 ≈ 2*10^8/5*10^7\approx 2108/5107 $80.23

Microprocessor with 8-inch wafer:
Die yield= ( 1 + 0.56 × 3.2 3 ) − 3 (1+\frac {0.56\times3.2}{3})^{-3} (1+30.56×3.2)3= 0.245
Dies per wafer= π × ( 20.32 2 ) 2 3.2 − π × 20.32 2 × 3.2 \frac{\pi \times (\frac {20.32} 2) ^2}{3.2} - \frac {\pi \times 20.32}{\sqrt{2 \times 3.2}} 3.2π×(220.32)22×3.2 π×20.32= 76
final test yield = 95%
cost of die= 800 76 × 0.25 \frac {800}{76 \times 0.25} 76×0.25800=42.9
cost of packaging=2.78
cost of test = 25
variable cost per IC = (42.9+2.78+25) / 0.95 = 73.45
cost per IC = 74.45+ 2 ∗ 1 0 8 / 5 ∗ 1 0 7 ≈ 2*10^8/5*10^7\approx 2108/5107 $78.45

ASIC with 4-inch wafer:
cost per IC = variable cost per IC + (fixed cost / volume)=variable cost per IC + ( 2 ∗ 1 0 6 / 1 0 6 2*10^6/10^6 2106/106)
variable cost per IC= (cost of die +cost of test +cost of packaging)/final test yield
cost of test = 0.69
cost of packaging= 0.67
cost of die = W a f e r    c o s t D i e s    p e r    w a f e r × D i e    y i e l d = 150 D i e s    p e r    w a f e r × D i e    y i e l d =\frac {Wafer \,\,cost}{Dies\,\, per \,\,wafer \times Die \,\,yield}=\frac {150}{Dies\,\, per \,\,wafer \times Die \,\,yield} =Diesperwafer×DieyieldWafercost=Diesperwafer×Dieyield150
final test yield = 95%
D i e s    p e r    w a f e r = π × ( 10.16 2 ) 2 0.25 − π × 10.16 2 × 0.25 Dies\,\,per\,\,wafer=\frac{\pi \times (\frac {10.16} 2) ^2}{0.25} - \frac {\pi \times 10.16}{\sqrt{2 \times 0.25}} Diesperwafer=0.25π×(210.16)22×0.25 π×10.16
Die yield= ( 1 + d e f e c t s    p e r    u n i t    a r e a × d i e    a r e a α ) − α = ( 1 + 0.5 × 0.25 3 ) − 3 (1+\frac {defects\,\,per\,\,unit\,\,area\times die\,\,area}{\alpha})^{-\alpha}=(1+\frac {0.5\times0.25}{3})^{-3} (1+αdefectsperunitarea×diearea)α=(1+30.5×0.25)3

Die yield=0.88
Dies per wafer= 279
final test yield = 95%
cost of die= 0.61
cost of packaging=0.69
cost of test = 0.67
variable cost per IC = 2.07
cost per IC = 2.07+ 2 ∗ 1 0 6 / 1 0 6 ≈ 2*10^6/10^6\approx 2106/106 $4.07

ASIC with 8-inch wafer:
Die yield= ( 1 + 0.56 × 0.25 3 ) − 3 (1+\frac {0.56\times0.25}{3})^{-3} (1+30.56×0.25)3= 0.87
Dies per wafer= π × ( 20.32 2 ) 2 0.25 − π × 20.32 2 × 0.25 \frac{\pi \times (\frac {20.32} 2) ^2}{0.25} - \frac {\pi \times 20.32}{\sqrt{2 \times 0.25}} 0.25π×(220.32)22×0.25 π×20.32= 1206
final test yield = 95%
cost of die= 800 1206 × 0.87 \frac {800}{1206 \times 0.87} 1206×0.87800= 0.76
cost of packaging= 0.69
cost of test = 0.67
variable cost per IC = (0.76+0.69+0.67) / 0.95 = 2.23
cost per IC = 2.23+ 2 ∗ 1 0 8 / 1 0 6 ≈ 2*10^8/10^6\approx 2108/106 $4.23

A new 12-inch fabrication facility for production of the microprocessor part. The 12-inch fabrication facility will cost an additional $1,000,000,000.00 to construct for this project. Develop a constraint on the defect density of this new facility that will ensure cost savings over the previous minimum cost solution. Volume cost for 12-inch wafers is estimated to be
$1500/wafer.

Microprocessor with 12-inch wafer(new):
find defect density x x x
Die yield= ( 1 + x × 3.2 3 ) − 3 (1+\frac {x\times3.2}{3})^{-3} (1+3x×3.2)3
Dies per wafer= π × ( 30.48 2 ) 2 3.2 − π × 30.48 2 × 3.2 \frac{\pi \times (\frac {30.48} 2) ^2}{3.2} - \frac {\pi \times 30.48}{\sqrt{2 \times 3.2}} 3.2π×(230.48)22×3.2 π×30.48= 190
final test yield = 95%
cost of die= 1500 190 × D i e    y i e l d \frac {1500}{190 \times Die \;yield} 190×Dieyield1500
cost of packaging=2.78
cost of test = 25
variable cost per IC = (cost of die+2.78+25) / 0.95
cost per IC = variable cost per IC+ ( 2 ∗ 1 0 8 + 1 0 9 ) / 5 ∗ 1 0 7 < (2*10^8+10^9)/5*10^7< (2108+109)/5107< $78.45

d e f e c t    d e n s i t y    x ≤ 0.42 defect\;density \;x \le 0.42 defectdensityx0.42

Fan-out: the number of load gates N N N that are connected to the output of the
driving gate
Fan-in: the number of inputs to the gate

propagation delay t p t_p tp:how quickly it responds to a change at its input(s)
measured between the 50% transition points of the input and output waveforms
在这里插入图片描述
t p = t p H L + t p L H 2 t_p=\frac {t_{pHL}+t_{pLH}} 2 tp=2tpHL+tpLH

Period of ring oscillator T T T
在这里插入图片描述
odd number( N N N) of inverter
T = 2 × t p × N T=2\times t_p \times N T=2×tp×N

noise margin
在这里插入图片描述
V O H V_{OH} VOH: Maximum output voltage when the output level is logic “1”
V O L V_{OL} VOL: Maximum output voltage when the output level is logic “0”
V I L V_{IL} VIL: Maximum input voltage which can be interpreted as logic “0”
V I H V_{IH} VIH: Maximum input voltage which can be interpreted as logic “1”

Propagation delay of First-order RC network
在这里插入图片描述
The time to reach the 50% point: t = l n ( 2 ) τ = 0.69 τ t=ln(2)\tau=0.69\tau t=ln(2)τ=0.69τ
Time to the 90% point: t = l n ( 9 ) τ = 2.2 τ t=ln(9)\tau=2.2\tau t=ln(9)τ=2.2τ
τ = R C , t i m e    c o n s t a n t \tau=RC, time\;constant τ=RC,timeconstant
logic change from 0 to 1, this process needs power: E 0 → 1 = C L ⋅ V d d 2 E_{0\rightarrow1}=C_L\cdot V_{dd}^2 E01=CLVdd2
logic change from 1 to 0 do not need energy
power dissipation of capacitor: E c a p = 1 2 C L ⋅ V d d 2 E_{cap}=\frac 1 2C_L\cdot V_{dd}^2 Ecap=21CLVdd2

CMOS Fabrication Process Technology

Photolithography: The technique to accomplish selective masking so that a desired processing step can be selectively applied to the remainingregions.
Photolithography invovle:

  1. Oxidation layering
  2. Photoresist coating
  3. Stepper exposure
  4. Photoresist development and bake
  5. Acid Etching
  6. Spin, rinse, and dry
  7. Various process steps
  8. Photoresist removal (or ashing)

Some important techniques used:Diffusion and Ion Implantation, Deposition, Etching, Planarization

Simplified process:
在这里插入图片描述

MOS Transistor Theory

static behaviour

Built-in potential: under zero bias, there exists a voltage ϕ 0 \phi_0 ϕ0 across the junction. ϕ 0 = ϕ T l n [ N A N D n i 2 ] \phi_0=\phi_Tln[\frac {N_AN_D}{n_i^2}] ϕ0=ϕTln[ni2NAND] n i n_i ni: intrinsic carrier concentration in a pure sample of the semiconductor and equals approximately 1.5 ∗ 1 0 10 c m − 3 1.5*10^{10} cm^{-3} 1.51010cm3 at 300 K for silicon.

Ideal diode equation: I D = I S ( e V D / ϕ T − 1 ) I_D=I_S(e^{V_D/ \phi_T}-1) ID=IS(eVD/ϕT1) ϕ T \phi_T ϕT: termal voltage, equal to 26 mV at room temperature.
I S I_S IS: saturation current, constant value.

Junction Capacitance: the space-charge region contains few mobile carriers, it acts as an insulator with a dielectric constant ε s i \varepsilon_{si} εsi of the semiconductor material. The n- and p-regions act as the capacitor plates. C j = C j 0 ( 1 − V D / ϕ 0 ) m C_j=\frac {C_{j0}} {(1-V_D/\phi_0)^m} Cj=(1VD/ϕ0)mCj0 m = 0.5 m=0.5 m=0.5 :abrupt junction
m = 0.33 m=0.33 m=0.33 :linear junction
C j 0 C_{j0} Cj0: the capacitance under zero-bias conditions, C j 0 = A D ( ε s i q 2 N A N D N A + N D ) ϕ 0 − 1 C_{j0}=A_D\sqrt{(\frac{\varepsilon_{si}q} 2\frac{N_AN_D}{N_A+N_D})\phi_0^{-1}} Cj0=AD(2εsiqNA+NDNAND)ϕ01
ϕ 0 \phi_0 ϕ0: Built-in potential (defined previously)

MOS Transistor under Static Conditions

Threshold Voltage: V T = V T 0 + γ ∗ ( ∣ − 2 ϕ F + V S B ∣ − ∣ − 2 ϕ F ∣ ) V_T=V_{T0}+\gamma*(\sqrt{|-2\phi_F+V_{SB}|}-\sqrt{|-2\phi_F|}) VT=VT0+γ(2ϕF+VSB 2ϕF )
V T 0 V_{T0} VT0: Threshold voltage for substrate bias voltage V S B = 0 V_{SB}=0 VSB=0
ϕ F \phi_F ϕF: Fermi Potential, ϕ F = − ϕ T l n ( N A n i ) \phi_F=-\phi_Tln(\frac {N_A}{n_i} ) ϕF=ϕTln(niNA), sometimes 0.55V
N A N_A NA: substrate doping
γ \gamma γ: body-effect coefficient, γ = 2 q N A ε S i / C o x \gamma=\sqrt{2qN_A\varepsilon_{Si}}/C_{ox} γ=2qNAεSi /Cox

the voltage-current relation of the transistor in linear region/resistive region ( V D S < V G S − V T V_{DS}<V_{GS}-V_T VDS<VGSVT) I D = k n ′ W L [ ( V G S − V T ) V D S − V D S 2 2 ] = k n [ ( V G S − V T ) V D S − V D S 2 2 ] I_D =k'_n\frac W L[(V_{GS}-V_T)V_{DS}-\frac {V_{DS}^2} 2]\\ =k_n[(V_{GS}-V_T)V_{DS}-\frac {V_{DS}^2} 2] ID=knLW[(VGSVT)VDS2VDS2]=kn[(VGSVT)VDS2VDS2]
the voltage-current relation of the transistor in saturation region( V D S > V G S − V T V_{DS}>V_{GS}-V_T VDS>VGSVT) I D = k n ′ 2 W L ( V G S − V T ) 2 I_D=\frac {k'_n} 2 \frac W L(V_{GS}-V_T)^2 ID=2knLW(VGSVT)2
k n ′ k'_n kn: process transconductance parameter, k n ′ = μ n C o x k'_n=\mu_nC_{ox} kn=μnCox
μ n \mu_n μn: mobility parameter, expressed in m 2 / V ⋅ s m^2/V\cdot s m2/Vs
C o x C_{ox} Cox: capacitance per unit area presented by the gate oxide. C o x = ε o x t o x C_{ox}=\frac {\varepsilon_{ox}} {t_{ox}} Cox=toxεox
ε o x \varepsilon_{ox} εox: oxide permittivity, 3.5 × 1 0 − 11 3.5\times 10^{-11} 3.5×1011
t o x t_{ox} tox: thickness of the oxide
k n k_n kn: gain factor
W W W: channel width
L L L: channel length
V G S V_{GS} VGS: voltage apply between gate and source
V D S V_{DS} VDS: voltage apply between drain and source
V T V_T VT:threshold voltage (define previously)

channel length modulation
increasing V D S V_{DS} VDS causes the depletion region at the drain junction to grow,reducing the length of the effective channel, the current increases when the length factor L L L decrease
I D = I D ′ ( 1 + λ V D S ) I_D=I_D'(1+\lambda V_{DS}) ID=ID(1+λVDS) I D ′ I_D' ID: the current expressions derived earlier
λ \lambda λ: empirical parameter

short channel effect

  • velocity saturation
  • subthreshold current
  • hot electron
  • DIBL: brain induced barrier lowering

velocity saturation:

when the electrical field along the channel reaches a critical value ξ c \xi_c ξc, the velocity of the carriers tends to saturate due to scattering effects.
Velocity-saturation effects are more pronounced in NMOS short-channel transistors.
drain current in the resistive/linear region with velocity saturation effect
I D S A T = υ s a t C o x W ( ( V G S − V T ) − V D S A T ) = κ ( V D S A T ) μ n C o x W L [ ( V G S − V T ) V D S A T − V D S A T 2 2 ] V D S A T = κ ( V G S − V T ) ( V G S − V T ) = L ξ c I_{DSAT}=\upsilon_{sat}C_{ox}W((V_{GS}-V_T)-V_{DSAT})\\ =\kappa(V_{DSAT})\mu_nC_{ox}\frac WL[(V_{GS}-V_T)V_{DSAT}-\frac {V_{DSAT}^2}2]\\ V_{DSAT}=\kappa(V_{GS}-V_T)(V_{GS}-V_T)=L\xi_c IDSAT=υsatCoxW((VGSVT)VDSAT)=κ(VDSAT)μnCoxLW[(VGSVT)VDSAT2VDSAT2]VDSAT=κ(VGSVT)(VGSVT)=Lξc

υ s a t \upsilon_{sat} υsat: saturation velocity, = μ n ξ c =\mu_n\xi_c =μnξc, approximately equals 1 0 5 10^5 105 m/s.
κ ( V D S A T ) \kappa(V_{DSAT}) κ(VDSAT): = 1 1 + ( V D S A T / ξ c L ) =\frac 1 {1+(V_{DSAT}/\xi_cL)} =1+(VDSAT/ξcL)1, measure of the degree of velocity saturation

subthreshold conduction
the current does not drop abruptly to 0 at V G S = V T V_GS=V_T VGS=VT. MOS transistor is already partially conductiong for voltages below the threshold voltage. This effect is called subthreshold or weak-inversion conduction.
current in subthreshold exponential region: I D = I S e V G S n k T / q ( 1 − e V D S k T / q ) I_D=I_Se^{\frac {V_{GS}}{nkT/q}(1-e^{\frac {V_{DS}}{kT/q}})} ID=ISenkT/qVGS(1ekT/qVDS)
I S , n I_S, n IS,n: empirical parameters
slope factor S S S: inverse rate of decline of the current with respect to V G S V_GS VGS below V T V_T VT, measures by how much V G S V_GS VGS has to be reduced for the drain current to drop by a factor of 10: S = n ( k T q ) l n 10 S=n(\frac {kT} q)ln10 S=n(qkT)ln10
unit: m V / d e c a d e mV/decade mV/decade

hot electron/ hot carrier
Caused by high electric fields. Electrons and holes gaining high kinetic energies in the electric field (hot carriers) may be injected into the gate oxide, and cause permanent changes in the oxide-interface charge distribution, degrading the current-voltage characteristics of the MOSFET.

DIBL: drain-induced barrier lowering
If the drain voltage is increased, the potential barrier in the channel decreases, leading to drain-induced barrier lowering. The reduction of the potential barrier eventually allows electron flow between the source and the drain, even if the gate-to-source voltage is lower than the threshold voltage. The channel current that flows under these conditions ( V G S < V T 0 V_{GS}<V_{T0} VGS<VT0) is called the sub-threshold current.

dynamic behaviour

channel capacitance
total: C = C o x ⋅ W ⋅ L D C=C_{ox}\cdot W\cdot L_D C=CoxWLD
C o x C_{ox} Cox:gate oxide capacitance per unit area
W W W: channel width
L D L_D LD: lateral diffusion

operation region C g s C_{gs} Cgs- between gate and source C g d C_{gd} Cgd- between gate and drain C g b C_{gb} Cgb- between gate and body
Cutoff00 C o x W ( L − 2 L D ) C_{ox}W(L-2L_D) CoxW(L2LD)
Resistive/linear 1 2 C o x W ( L − 2 L D ) \frac1 2 C_{ox}W(L-2L_D) 21CoxW(L2LD) 1 2 C o x W ( L − 2 L D ) \frac1 2 C_{ox}W(L-2L_D) 21CoxW(L2LD)0
Saturation 2 3 C o x W ( L − 2 L D ) \frac 2 3 C_{ox}W(L-2L_D) 32CoxW(L2LD)00

L − 2 L D L-2L_D L2LD: effective channel length
在这里插入图片描述
p-mos pull up; n-mos pull down

Source-drain Resistance
R S , D = R □ L S , D W + R C R_{S,D}=R_{\square}\frac {L_{S,D}} W+R_C RS,D=RWLS,D+RC
L S , D L_{S,D} LS,D: length of the source or drain region
W W W: width of the transistor
R □ R_{\square} R: sheet resistance
R C R_C RC: contact resistance

Circuit Characteristic and Performance Estimation

latch up

must be prevented by reducing substrate noise, it will ruin all devices

Why latch up will cause problem: the creation of a low-impedance possitive-feedback path between power supply rails as a result of triggering a parasitic device. The noise in this circuit will be amplifid and produce excessisve current.

在这里插入图片描述

wire capacitancce

capacitance of wire interconnect:
wire parallel plate capacitance: C = ε o x t o x ( W ∗ L ) C=\frac {\varepsilon_{ox}} {t_{ox}}(W*L) C=toxεox(WL)
L: wire length
W: wire width

C t o t a l = C p a r a l l e l + C f r i n g e = w ε o x t o x + 2 π ε o x l g ( t o x / H ) C_{total}=C_{parallel}+C_{fringe}=\frac {w\varepsilon_{ox}} {t_{ox}}+\frac {2\pi\varepsilon_{ox}}{lg(t_{ox}/H)} Ctotal=Cparallel+Cfringe=toxwεox+lg(tox/H)2πεox
H: interconnect thickness
w w w: w = W − H / 2 w=W-H/2 w=WH/2

在这里插入图片描述
ε o x t o x \frac {\varepsilon_{ox}} {t_{ox}} toxεox. area capacitance(parallel-plate) are expressed in a F / μ m 2 aF/\mu m^2 aF/μm2, while the fringe capacitance(given in the shaded rows) are in a F / μ m aF/\mu m aF/μm

wire resistance
R = ρ L H W = R □ L W R=\frac {\rho L}{HW}=R_\square \frac LW R=HWρL=RWL

resistance (per unit length) at high frequencies(with skin effect, consider skin depth) r ( f ) = π f μ ρ 2 ( H + W ) r(f)=\frac {\sqrt{\pi f \mu \rho}}{2(H+W)} r(f)=2(H+W)πfμρ
μ \mu μ: permeability of the surrounding dielectric, 4 π × 1 0 − 7 H / m 4\pi\times 10^{-7} H/m 4π×107H/m

lumped model
在这里插入图片描述

how to calculate RC delay of a tree-structured network

在这里插入图片描述 τ = C 1 R 1 + C 2 ( R 1 + R 2 ) + C 3 ( R 1 + R 3 ) + C 4 ( R 1 + R 3 + R 4 ) + C i ( R 1 + R 3 + R i ) \tau=C_1R_1+C_2(R_1+R_2)+C_3(R_1+R_3)+C_4(R_1+R_3+R_4)+C_i(R_1+R_3+R_i) τ=C1R1+C2(R1+R2)+C3(R1+R3)+C4(R1+R3+R4)+Ci(R1+R3+Ri)

在这里插入图片描述

τ S D = C 1 R 1 + C 2 ( R 1 + R 2 ) + C 3 ( R 1 + R 2 + R 3 ) + C 4 ( R 1 + R 2 + R 3 ) + C 5 R 1 + C 6 R 1 + C 7 ( R 1 + R 2 ) + C 8 ( R 1 + R 2 + R 3 ) \tau_{SD}=C_1R_1+C_2(R_1+R_2)+C_3(R_1+R_2+R_3)+C_4(R_1+R_2+R_3)+C_5R_1+C_6R_1+C_7(R_1+R_2)+C_8(R_1+R_2+R_3) τSD=C1R1+C2(R1+R2)+C3(R1+R2+R3)+C4(R1+R2+R3)+C5R1+C6R1+C7(R1+R2)+C8(R1+R2+R3)

Time-Constant of Resistive-Capacitive Wire
τ D N = R C N + 1 2 N = r c L 2 N + 1 2 N \tau_{DN}=RC\frac{N+1}{2N}=rcL^2\frac{N+1}{2N} τDN=RC2NN+1=rcL22NN+1
wire delay: r c 2 l 2 \frac {rc}2l^2 2rcl2
r r r: resistance per unit length
c c c: capacitance per unit length

9 stages or 11 stage RC
simplified model: π \pi π model or T model

in a chip:
top layer is the most thick layer
global signal travel same distance
If gate delay>wire delay, we can ignore wire delay

*diffusion equation of voltage node at node i of distributed RC line * ∂ 2 V ∂ x 2 = r c ∂ V ∂ t \frac {\partial^2V}{\partial x^2}=rc\frac{\partial V}{\partial t} x22V=rctV

impedence of transmission line is not related to the length
impedence: Z = Z L − Z 0 Z L + Z 0 Z=\frac{Z_L-Z_0}{Z_L+Z_0} Z=ZL+Z0ZLZ0
Z L Z_L ZL: load
Z 0 Z_0 Z0: characteristic impedence
telegraph equation:
V r = ( Z L − Z 0 Z L + Z 0 ) V i n V_r=(\frac{Z_L-Z_0}{Z_L+Z_0})V_in Vr=(ZL+Z0ZLZ0)Vin
when impedence matching: V r = 0 V_r=0 Vr=0

lattice diagram

在这里插入图片描述
Reflection Coefficient:
T Z L = Z L − Z 0 Z L + Z 0 = ρ L T Z S = Z S − Z 0 Z S + Z 0 = ρ S T_{Z_L}=\frac{Z_L-Z_0}{Z_L+Z_0}=\rho_L\\ T_{Z_S}=\frac{Z_S-Z_0}{Z_S+Z_0}=\rho_S TZL=ZL+Z0ZLZ0=ρLTZS=ZS+Z0ZSZ0=ρS
incoming signal:
V i n = Z 0 Z S + Z 0 V s = V i n i t i a l V_{in}=\frac{Z_0}{Z_S+Z_0}V_s=V_{initial} Vin=ZS+Z0Z0Vs=Vinitial
( R L = Z L ; R S = Z L ) (R_L=Z_L ; R_S=Z_L) (RL=ZL;RS=ZL)
在这里插入图片描述
a = V i n i t i a l b = a ρ L c = b ρ s d = c ρ L e = d ρ s f = e ρ L a=V_{initial}\\ b=a\rho_L\\ c=b\rho_s\\ d=c\rho_L\\ e=d\rho_s\\ f=e\rho_L a=Vinitialb=aρLc=bρsd=cρLe=dρsf=eρL

example:
R S = 5 Z 0 ,    R L = ∞ , V S = 5 V R_S=5Z_0,\;R_L=\infty,V_S=5V RS=5Z0,RL=,VS=5V
a = V i n i t i a l = Z 0 Z S + Z 0 V s = 1 6 ∗ 5 V = 0.8333 V ρ L = Z L − Z 0 Z L + Z 0 = 1 b = a ρ L = 5 6 ∗ 1 = 0.8333 V ρ S = Z S − Z 0 Z S + Z 0 = 2 3 c = b ρ s = 5 6 ∗ 2 3 = 0.5556 d = c ρ L = 5 9 ∗ 1 = 0.5556 e = d ρ s = 5 9 ∗ 2 3 = 0.3704 f = e ρ L = 0.3704 g = f ρ s = 0.2469 h = g ρ L = 0.2469 a=V_{initial}=\frac{Z_0}{Z_S+Z_0}V_s=\frac 16*5V=0.8333V\\ \rho_L=\frac{Z_L-Z_0}{Z_L+Z_0}=1\\ b=a\rho_L=\frac 56*1=0.8333V\\ \rho_S=\frac{Z_S-Z_0}{Z_S+Z_0}=\frac23\\ c=b\rho_s=\frac56*\frac23=0.5556\\ d=c\rho_L=\frac59*1=0.5556\\ e=d\rho_s=\frac59*\frac23=0.3704\\ f=e\rho_L=0.3704 g=f\rho_s=0.2469\\ h=g\rho_L=0.2469 a=Vinitial=ZS+Z0Z0Vs=615V=0.8333VρL=ZL+Z0ZLZ0=1b=aρL=651=0.8333VρS=ZS+Z0ZSZ0=32c=bρs=6532=0.5556d=cρL=951=0.5556e=dρs=9532=0.3704f=eρL=0.3704g=fρs=0.2469h=gρL=0.2469
A = a = 0.8333 B = a + b + c = 2.2216 C = a + b + c + d + e = 3.1476 A=a=0.8333\\ B=a+b+c=2.2216\\ C=a+b+c+d+e=3.1476 A=a=0.8333B=a+b+c=2.2216C=a+b+c+d+e=3.1476
A ′ = a + b = 1.6666 B ′ = a + b + c + d = 2.7772 C ′ = a + b + c + d + e + f = 3.5180 A'=a+b=1.6666\\ B'=a+b+c+d=2.7772\\ C'=a+b+c+d+e+f=3.5180 A=a+b=1.6666B=a+b+c+d=2.7772C=a+b+c+d+e+f=3.5180
在这里插入图片描述

example:
R S = 75 Ω ,    Z 0 = 50 Ω ,      R L = ∞ , V S = 2 V R_S=75\Omega,\;Z_0=50\Omega,\;\;R_L=\infty,V_S=2V RS=75Ω,Z0=50Ω,RL=,VS=2V
a = V i n i t i a l = Z 0 Z S + Z 0 V s = 2 5 ∗ 2 V = 0.8 V ρ L = Z L − Z 0 Z L + Z 0 = 1 b = a ρ L = 4 5 ∗ 1 = 0.8 V ρ S = Z S − Z 0 Z S + Z 0 = 1 5 c = b ρ s = 4 5 ∗ 1 5 = 0.16 d = c ρ L = 0.16 ∗ 1 = 0.16 e = d ρ s = 0.16 ∗ 1 5 = 0.032 f = e ρ L = 0.032 a=V_{initial}=\frac{Z_0}{Z_S+Z_0}V_s=\frac 25*2V=0.8V\\ \rho_L=\frac{Z_L-Z_0}{Z_L+Z_0}=1\\ b=a\rho_L=\frac 45*1=0.8V\\ \rho_S=\frac{Z_S-Z_0}{Z_S+Z_0}=\frac15\\ c=b\rho_s=\frac45*\frac15=0.16\\ d=c\rho_L=0.16*1=0.16\\ e=d\rho_s=0.16*\frac15=0.032\\ f=e\rho_L=0.032 a=Vinitial=ZS+Z0Z0Vs=522V=0.8VρL=ZL+Z0ZLZ0=1b=aρL=541=0.8VρS=ZS+Z0ZSZ0=51c=bρs=5451=0.16d=cρL=0.161=0.16e=dρs=0.1651=0.032f=eρL=0.032
A = a = 0.8 B = a + b + c = 1.96 C = a + b + c + d + e = 2.152 A=a=0.8\\ B=a+b+c=1.96\\ C=a+b+c+d+e=2.152 A=a=0.8B=a+b+c=1.96C=a+b+c+d+e=2.152
A ′ = a + b = 1.6 B ′ = a + b + c + d = 1.92 C ′ = a + b + c + d + e + f = 1.984 A'=a+b=1.6\\ B'=a+b+c+d=1.92\\ C'=a+b+c+d+e+f=1.984 A=a+b=1.6B=a+b+c+d=1.92C=a+b+c+d+e+f=1.984
在这里插入图片描述

Circuit Simulation and Combinational Circuit Design

CMOS saturation diagram of NOT gate

在这里插入图片描述

在这里插入图片描述
V I L : V_{IL}: VIL: PMOS in linear NMOS in saturation
V I H : V_{IH}: VIH: PMOS in saturation NMOS in linear
V O H : V_{OH}: VOH: PMOS in linear NMOS off
V O L : V_{OL}: VOL: PMOS off NMOS linear

at middle point ©, V i n = V o u t = V T L ( l o g i c    t h r e s h o l d ) = V D D 2 V_{in}=V_{out}=V_{TL}(logic\;threshold)=\frac {V_{DD}}2 Vin=Vout=VTL(logicthreshold)=2VDD
k R = k n k p k_R=\frac {k_n}{k_p} kR=kpkn

for fast raise time and middle logic threshold point: w p L n = 2.5 ∗ w n L n \frac {w_p}{L_n}=2.5*\frac{w_n}{L_n} Lnwp=2.5Lnwn

multi-stage fanout

capacitance between driver and receiver: C L = g s p + c g d p + c g d n + c g s n + c w C_L=_{gsp}+c_{gdp}+c_{gdn}+c_{gsn}+c_{w} CL=gsp+cgdp+cgdn+cgsn+cw
C g p = 2.5 C g n C_{gp}=2.5C_{gn} Cgp=2.5Cgn
total delay: τ p = 0.69 c L ( R e q N + R e q P 2 ) \tau_p=0.69c_L(\frac{R_{eqN}+R_{eqP}}2) τp=0.69cL(2ReqN+ReqP)
width: w p = β ∗ w n w_p=\beta*w_n wp=βwn
t p = 0.345 [ ( 1 + β ) ( c g d N + c g s N ) + c w ] R e q N ( 1 + β ) t_p=0.345[(1+\beta)(c_{gdN}+c_{gsN})+c_w]R_{eqN}(1+\beta) tp=0.345[(1+β)(cgdN+cgsN)+cw]ReqN(1+β)
τ = τ i n t + S ⋅ C L \tau=\tau_{int}+S\cdot C_L τ=τint+SCL
t p = 0.69 R e q c i n t ( 1 + C e x t / C i n t ) t_p=0.69R_{eq} c_{int} (1+C_{ext}/C_{int} ) tp=0.69Reqcint(1+Cext/Cint)
C e x t C_{ext} Cext: external load capacitance

energy store in cap
1 2 C L V D D 2 \frac 12C_LV_{DD}^2 21CLVDD2

if we need big driver, we should gradually increase size of TR, optimize total delay gate 1 gate 2 gate 3 gate 4 … gate n
τ = N ⋅ R 1 S C 1 \tau=N\cdot R_1SC_1 τ=NR1SC1
R 1 R_1 R1: the resistor of the first transistor
C 1 C_1 C1: capacitor of the first transistor

maximum number of fan-out(also the minimum delay): 4

sizing factor F F F and effective fanout f f f
f = C L / C g , 1 N = F N f=\sqrt[N]{C_L/C_{g,1}}=\sqrt[N]F f=NCL/Cg,1 =NF
N N N: number of fan-out
C g , 1 C_{g,1} Cg,1:input capacitance of the first inverter (minimally-sized device)
minimum delay
t p = N t p 0 ( 1 + F N / γ ) t_p=Nt_{p0}(1+\sqrt[N]F/\gamma) tp=Ntp0(1+NF /γ)
t p 0 t_{p0} tp0: intrinsic delay of inverter (independent of sizing)
γ \gamma γ: c i n t c g \frac {c_{int}}{c_g} cgcint, proportionality factor, which is only a function of technology and is close to 1

在这里插入图片描述

fanout for best power consumption

C t o t = C g 1 [ ( 1 + γ ) ( 1 + f ) + F ] energy dissipation: E = V d d 2 C g 1 ( ( 1 + γ ) ( 1 + f ) + F ) C_{tot}=C_{g1}[(1+\gamma)(1+f)+F]\\ \text{energy dissipation:}\\ E=V_{dd}^2C_{g1}((1+\gamma)(1+f)+F) Ctot=Cg1[(1+γ)(1+f)+F]energy dissipation:E=Vdd2Cg1((1+γ)(1+f)+F)

CMOS circuit power consumption

  • dynamic power/switching power
  • short circuit power
  • leakage power/static power
    • subthreshold current
    • BTBT current (diode leakage current)
    • Gate tunneling

energy consumed per switching period:
E d p = t s c V D D I p e a k E_{dp}=t_{sc}V_{DD}I_{peak} Edp=tscVDDIpeak
t s c t_sc tsc: time both devices are conducting, V D D − 2 V T V D D t r i s i n g \frac {V_{DD}-2V_T}{V_{DD}}t_{rising} VDDVDD2VTtrising
average power consumption:
P d p = C s c V D D f P_{dp}=C_{sc}V_{DD}f Pdp=CscVDDf

power delay product PDP
C L V D D 2 / 2 C_LV_{DD}^2/2 CLVDD2/2
energy delay product EDP
P D P ∗ t p = α ∗ C L 2 V D D 3 2 ( V D D − V T ) PDP*t_p=\frac {\alpha*C_L^2V_{DD}^3}{2(V_{DD}-V_T)} PDPtp=2(VDDVT)αCL2VDD3
α \alpha α: technology parameter

techniques to reduce power

  • MTVT: multi-threshold voltage technique
  • clock gating
    • pipelining
    • parallel processing
  • coding technique
    • thermometer code

MTVT: threshold voltage higher, harder to turn on transistor, react slower, but less leakage (high threshold can reduce leakage).

technology scaling

ParameterRelationFull ScalingGeneral scalingFixed-Voltage scaling
Area/Device W L WL WL 1 / S 2 1/S^2 1/S2 1 / S 2 1/S^2 1/S2 1 / S 2 1/S^2 1/S2
Intrinsic Delay R o n C g a t e R_{on}C_{gate} RonCgate 1 / S 1/S 1/S 1 / S 1/S 1/S 1 / S 1/S 1/S
Intrinsic Energy C g a t e V 2 C_{gate}V^2 CgateV2 1 / S 3 1/S^3 1/S3 1 / S U 2 1/SU^2 1/SU2 1 / S 1/S 1/S
Intrinsic PowerEnergy/Delay 1 / S 2 1/S^2 1/S2 1 / U 2 1/U^2 1/U21
Power DensityP/Area1 S 2 / U 2 S^2/U^2 S2/U2 S 2 S^2 S2

(未完)

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值