vivado 如何创建工程模式_第六课时:Vivado实现策略

本文详细介绍了Vivado中Directive的使用,包括逻辑优化、功耗优化及新特性。探讨了effort level和directive的关系,指出后者已取代前者。接着,列举了常用的实现策略,如performance_explore,关注时序但增加实现时间。同时,阐述了Tcl API在指令执行中的作用。在工程模式与非工程模式下,分别讨论了实现过程,强调了place_design、route_design等命令在优化时序和布线中的重要性,以及directive和strategies的不兼容性。文章最后总结了有助于时序收敛的Tcl命令。
摘要由CSDN通过智能技术生成

a461584c595dd5349645b4bd4a64a549.png

1. Directive

opt design是逻辑优化

power_opt功耗的优化

9d2293896ae28248ea73e584bf2686d9.png

新的特征 Directive

不同的directive,意味着不同的算法

8662177dcf9a45f4c7d989b75558d06f.png

effort level和directive explore的关系如何

b8a9f961915d82d5bdefc0b73830e9e8.png

后者取代了前者

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值