Vivado实现策略

第六课时:Vivado实现策略

目录

Top~~

1. Directive

opt design是逻辑优化

power_opt功耗的优化

新的特征 Directive

不同的directive,意味着不同的算法

effort level和directive explore的关系如何

后者取代了前者

Top~~

2. 实现的策略

常用的五个策略

不同测策略由不同的设计步骤,不同的策略选择不同的directive,

一个命令级的一个运行级的行为

可以定制需求的策略

performance_explore覆盖都所有的设计点,关注时序,时钟跑的快,但是增加了实现的时间

Top~~

3. Tcl的API

运行当前指令和之后运行的命令

Top~~

4. 工程模式和非工程模式下实现

工程模式下

  • 定义多个策略

  • 运行多个策略

  • 将这些策略保存下来

  • 单步实现

非工程模式

link_design 相当于把网表合成一个大的网表文件

souce一下tcl文件

place_design布线之后运行更有效,改善布局减少延时,主要针对时序无法收敛的去进行的

选择了directive其他命令就无法用了

place_design

delay告诉布线器一个最小的颜值

preserve告诉布线器保持上面的布线

route_design

phys_opt_design

DSP48里面有register拿出来放进去优化

  • very high fanout高扇出的输出信号复制

  • 重定时:改变路径上的触发器的位置。使得时序可以收敛
  • hold fix:插入延时,使得hold time可以满足

 

5. 总结

directive和strategies不兼容

时序收敛有帮助的tcl

  • 1
    点赞
  • 33
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值