vivado的综合与实现策略怎样设置

问题:

1、vivado的综合与实现策略较ISE减少了许多选项,个人感觉无法正确控制综合和实现的结果,综合后大量信号都被优化掉或被改名,严重降低了调试效率,请教高手如何解决?能否全面设置综合与实现策略?


 

2、vivado的调试工具个人感觉不如ISE+chipscope好用,界面差,数据也无法导出分析;请教高手有没好的办法?

 

3、HLS能否与ISE接口,即IP调用?


4、本人若非需要用到HLS,就vivado目前的成熟度,恐怕早就放弃了,vivado啥时候出补丁?软件的不成熟已经动摇了我使用7系列的信心,动摇了对xilinx的信心。。。

 

专家答复:

1. 综合有很多约束可以用:KEEP,DONT_TOUCH,MARK_DEBUG。这些都能帮助你实现自己的需求,具体情况具体分析。

 

综合和实现的各阶段都有-directive命令可以让你尝试各种策略。

 

2.看一下UG908。

 

3. HLS生成的IP只能给Vivado用,你可以在ISE工程中导入HLS生成的源代码。

 


4. 一般一个季度出一个新版本。建议在Xilinx主页下载一个Document Navigator,将Vivado的User Guide阅读一下。有问题时再到相应文档中搜索相关的使用办法。

  • 2
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值