【原创】Modelsim常见仿真语法

1、时钟信号生成

//CLOCK Signal generate

parameter PERIOD=20;

initial

begin

  iclk=0;

  forever

  #(PERIOD/2) iclk=~iclk;

end

2、RST信号功能块

//rst function package

task sys_reset;

input [31:0] reset_time;  //reset_time input

begin

  rst_n=1'b0;     //reset

  #reset_time;

  rst_n=1'b1;

  end

endtask

使用方式:sys_reset(500);

3、图像数据存入

//可以先生成mif文件,quartusII中打开后,另存为hex文件

//picture data

reg [7:0] my_men[0:262143]; //512*512

initial

  begin

    $readmemh("lena_coeff.hex",my_men,0); //read the mif data to my_men, address begin from 0

end

4、随机信号

data=$random%256; 产生随机数据,最大为256

$random

“$random函数调用时返回一个32位的随机数,它是一个带符号的整形数...”,并给出了一个例子:

_____________________________________________________

EX-1:

reg[23:0] rand;

rand=$random % 60; //产生一个在 -59—59范围的随机数

—————————————————————————

又给出了一个产生0~59之间的随机数的例子:

reg[23:0] rand;

rand={$random} % 60; //通过位拼接操作{}产生0—59范围的随机数

—————————————————————————

产生一个在min, max之间随机数的例子:

EX-3:

reg[23:0] rand;

rand = min+{$random}%(max-min+1);

转载于:https://www.cnblogs.com/socquan/p/3298865.html

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值