Verilog上机实验题目3:FIR滤波器

相关文章:
[Verilog上机实验题目1:8位数字显示的简易频率计]
[Verilog上机实验题目2:11位巴克码序列峰值检测器]
[Verilog上机实验题目3:FIR滤波器]
[Verilog上机实验题目4:哈夫曼编码器]

FIR滤波器的设计

 滤波器就是对特定的频率或者特定频率以外的频率进行消除的电路,被广泛应用于通信系统和信号处理系统中。从功能角度,数字滤波器对输入离散信号的数字代码进行运算处理,以达到滤除频带外信号的目的。

有限冲击响应(FIR)滤波器就是一种常用的数字滤波器,采用对已输入样值的加权形成它的输出。对于输入序列X[n]的FIR滤波器可用下图所示的结构示意图来表示,其中X[n]是输入数据流。各级的输入连接和输出连接被称为抽头,系数(b0,b1...)被称为抽头系数。一个M阶的FIR滤波器将会有M+1个抽头。通过移位寄存器用每个时钟边沿处 的数据流采样值乘以抽头系数,并将它们加起来形成输出。

  • 3
    点赞
  • 32
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值