vivado 如何创建工程模式_Vivado如何使用命令行创建工程

本文介绍了如何使用Vivado的命令行工具创建工程,包括通过auto.bat调用tcl脚本来创建普通工程和ZYNQ带PS端的工程,详细说明了tcl脚本中的关键步骤和配置参数。
摘要由CSDN通过智能技术生成

(2)解释下此两个文件的含义。

auto.bat的内容如下所示:本质上是去调用tcl文件。同时做了文件夹存在检测判定,避免手残在创建工程后还点击到了auto.bat。

start "C:\Windows\System32\cmd.exe"

@echo Power By Kingstacker.

@echo Produce the vivado project.

set cache_floder=project_1.cache

cd %~dp0

if exist %~dp0%cache_floder% (

echo The floder is exist.

pause

) else (

vivado -source pro_create.tcl

)

exit

tcl脚本如下所示:创建普通工程的tcl如下,注意芯片型号不同的话,需要修改device_mode后面对应的型号。

#设置器件型号

set device_model xc7z035ffg676-

#设置工程创建位置

set dev_dir [pwd]

#确保切换到了工程位置

cd $dev_dir

puts "The home_dir is $dev_dir now!"

#创建工程

create_project project_1 $dev_dir -part $device_model

#set_property board_part milianke:dev_zynq:part0:1.2 [curren

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值