oracle选择器,使用verilog实现4选1数据选择器的几种方法

第一种方法module mux( d1, d2, d3, d4, se1, se2, dout ); input d1; input d2; input d3; input d4; input se1; input se2;

output dout;

reg dout;

always @ (d1 or d2 or d3 or d4 or se1 or se2) case({se1,se2}) 2‘b00 : dout=d1; 2‘b01 : dout=d2; 2‘b10 : dout=d3; 2‘b11 : dout=d4; endcaseendmodule 第二种方法 module mux( d1, d2, d3, d4, se1, se2, dout ); input d1; input d2; input d3; input d4; input se1; input se2;

output dout;

reg dout;

always @ (d1 or d2 or d3 or d4 or se1 or se2) if (!se1) begin if (!se2) dout = d1; else dout = d2; end else begin if (!se2) dout = d3; else dout = d4; end

endmodule第三种方法module se( d1, d2, d3, d4, se1, se2, dout ); input d1; input d2; input d3; input d4; input se1; input se2;

output dout;

reg dout;

always @ (d1 or d2 or d3 or d4 or se1 or se2) assign dout=se1?se2?d4:d3:se2?d2:d1;endmodule

时间: 10-26

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值