vivado使用

这篇博客详细介绍了如何使用Vivado工具创建工程,包括选择项目名称、位置、设备型号,以及添加源文件和创建testbench的步骤。在工程设置中添加源文件,然后创建新文件或导入已有文件,最终通过运行synthesis和simulation进行语法检查和仿真操作。
摘要由CSDN通过智能技术生成

创建工程

点击creat project

 点击next

 选择project name和location。一定要勾选,不然就是下面这种路径

 

点击next(若没勾选框则会出现添加source,反之就直接跳到default part) 

 

选择XC7A35TFGG484-2 ,选择后点击next

 finish完成

创建源文件

settings--->add sources

next

 create file创建新文件,其余两个选项是添加已有的文件

 

点击ok

 点击yes,新建文件完成。

 创建testbench

 后续和源文件创建方式一样。

运行程序

run synthesis进行语法错误分析,run simulation(behavior)进行仿真,出现波形框后点击蓝色按钮,跑一会后暂停

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Vivado使用手册是一份详细的技术文档,提供了关于Vivado软件的全面指导和说明。你可以从赛灵思(Xilinx)官方网站上获取最新版本的Vivado使用手册。以下是一些常用的Vivado使用手册: 1. Vivado Design Suite User Guide: 这是Vivado软件的主要用户指南,涵盖了Vivado的基本概念、工作流程、设计方法和工具使用。它包括了从项目创建到综合、实现、验证和生成比特流文件等方面的详细说明。 2. Vivado Design Suite Tutorial: 这是一个实践指南,提供了一系列教程和实例,帮助你快速上手使用Vivado进行FPGA设计和开发。通过这些教程,你可以学习到Vivado的各种功能和工具的使用方法。 3. Vivado Design Suite Release Notes: 这是Vivado软件的发行说明,详细列出了每个版本的新功能、改进和修复的问题。阅读这些发行说明可以让你了解最新版本的Vivado带来了哪些变化和增强功能。 4. Vivado Design Suite User Constraints Guide: 这是约束文件(Constraints)的使用手册,详细介绍了如何编写和使用约束文件来定义设计的时序和物理约束。 5. Vivado Design Suite Tcl Command Reference Guide: 这是Vivado软件的Tcl命令参考手册,提供了Vivado中各种命令和选项的详细说明。Tcl命令是Vivado中进行批处理和自动化操作的重要工具。 你可以通过赛灵思官方网站上的文档和资源页面,下载以上手册的最新版本。此外,赛灵思还提供了在线文档、视频教程和社区论坛等资源,可供你深入学习和了解Vivado使用
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值