Artix-7的CFGBVS 设置为 Bank 0 的 VCCO 时,Bank 14 和 15 的配置电压限制为 3.3V 或 2.5V。

AR# 57045
7 系列配置用户指南 (UG470) 中关于推荐的 CFGBVS 设置,有不完整的陈述。

文档 1.6 版本如下描述:

“CFGBVS 可决定该 I/O 电压工作范围和专用配置 bank 0 的电压容差。CFGBVS 在所有 7 系列器件中始终选择专用 bank 0 的工作电压。”

此外,CFGBVS 还可在 FPGA 配置期间决定 HR Bank 14 和 15 中双用途 I/O 的 I/O 配置。

当 CFGBVS 连接至 Bank 0 的 VCCO 时,Bank 0 的 VCCO 必须为 2.5V 或 3.3V。如果 Bank 14 或 15 的 I/O 用于配置,则这些 Bank(14 和 15)的 VCCO 也必须为 2.5V 或 3.3V。

在 CFGBVS 连接至 GND 时,Bank 0 的 VCCO 应为 1.8V。如果 Bank 14 或 15 的 I/O 用于配置,那么这些 Bank(14 和 15)的 VCCO 也必须为 1.8V。

违背这一原则将导致配置失败。

解决方案
以下均为真时,Bank 14 和 Bank 15 I/O 使用高电压模式:

面向 Artix-7 或 Kintex-7 器件(其 Banks 14 和 15 为 HR I/O Bank)
在配置前及配置过程中
CFGBVS = Bank 0 的 VCCO
Bank 14 及15 用于配置时,供电电压应为 VCCO = 2.5V 或 3.3V,匹配于 Bank 0 VCCO 上的电压。

1.8V 配置接口的推荐设置为

CFGBVS = GND
Bank 0 的 VCCO = 1.8V(1.8V 信号传输针对连接到 Bank 0 的任何元素);
Bank 14/15 的 VCCO = 1.8V(在配置过程中使用时)
请注意,CFGBVS 不适合 Virtex-7 HT 器件,该器件的 bank 0 为 HP bank。

查看下表范例.

这些表已加至 7 系列配置用户指南 (UG470)。

  • 0
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值