RAM设计-单端口+伪双端口+双端口

定义:1.单端口:读写数据共用一个地址线,一个时钟沿只能进行读写中的一种2.伪双端口:读写数据有自己的时钟、地址、使能信号,时钟可以复用,一组端口只能读,一组端口只能写3.双端口:两组端口均可读可写Tips:端口组的定义:有自己的地址单端口RAM module ram_single( input clk, input rst_n, input sel, input en, input [3:0] addr, input [7:0] wdata, input wr, outpu
摘要由CSDN通过智能技术生成

定义:
1.单端口:读写数据共用一个地址线,一个时钟沿只能进行读写中的一种
2.伪双端口:读写数据有自己的时钟、地址、使能信号,时钟可以复用,一组端口只能读,一组端口只能写
3.双端口:两组端口均可读可写
Tips:端口组的定义:有自己的地址

  • 单端口RAM
 module ram_single(
 input clk,
 input rst_n,
 input sel,
 input en,
 input [3:0] addr,
 input [7:0] wdata,
 input wr,
 output [7:0] rdata
);
reg [7:0] rdata;
reg [7:0] mem [15:0];
wire wr_en,rd_en;
// sel 多个RAM的选通信号
assign wr_en = wr & sel & en;
assign rd_en = rd & sel & en;
//write data to mem
always @(posedge clk)
if(!rst_n) 
	for(i=0;i<=15;i=i
  • 1
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值