Quartus-II入门

本文档介绍了Quartus-II的入门使用,包括安装软件、数字电路仿真与验证。通过设计D触发器并进行时序仿真,深入理解其工作原理。同时,提供了使用Verilog语言实现D触发器的步骤和仿真结果。
摘要由CSDN通过智能技术生成

实验要求

1.复习数字电路基础知识;安装Quartus-II软件,进行数字电路的仿真与验证。
安装Quartus-II 13 和Modelsim。
2.在 Quartus-II 中自己用门电路设计一个D触发器,并进行仿真,时序波形验证;
3.在 Quartus-II 中直接调用一个D触发器电路,进行仿真,时序波形验证,与2做比较;
4.(选做)在 Quartus-II用Verilog语言写一个D触发器,进行仿真验证,与3做比较;

安装Quartus-II软件

百度网盘

数字电路的仿真与验证

  1. 创建工程,芯片选择
    在这里插入图片描述
  2. 创建文档文件file-new,选择Block Diagram/Schematic File
    在这里插入图片描述
  3. 首先点击上面箭头处,然后选择nand2,二个输入的与非门,依次添加四个and2和一个非门not
  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值