System Verilog 中的include 和 import区别

1. import 和 include 都可以调用;

2. include 能把class 封装在一个package里面

先写一个class的sv文件

class A;
int a;
endclass

然后写一个class,注意include要加一个‘,然后得把sv加进去,还要写双引号。

package B;
'include ''A.sv'';
endpackage:B

3. import 比include高级一点,一个package可以调用另一个packge中的class, 但要例化一下。

package C;
import B::A;
A a;
endpackage:C

4. 当然include也可以调用,但是只能调用 class,不可以调用package

package C;
'include ''A.sv'';
A a;
endpackage:C

  • 1
    点赞
  • 21
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值