modelsim中使用rom的时候,输出出现高阻或无输出

modelsim中使用rom的时候,输出出现高阻或无输出

modelsim是让初学者非常恶心的软件,报错时通常不会详细说明哪里有问题,导致浪费大量时间来找错。
使用rom时遇到输出为z或者没输出时,通常为没有读取到rom中的数据
需要如下设置:
1.找到altera_mf.v和220model.v这2个文件然后把他们放入仿真目录中一起编译一下,这两个文件在quartus 安装目录eda/sim_lib下。
2.需要放入数据文件到目录中,如mif或hex(高版本的modelsim可以跑mif,不用参照其他博客那样转换为hex,没用的)
3.不能有其他冗余文件,否则也会报错(我也不知道原因,一开始我多加了一个rsin_rom.bb.v文件,就没用输出了)
在这里插入图片描述
希望能帮助到你,点个赞吧,谢谢(我浪费了一天搞这个。。。)

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

rgb2gray

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值