SOC课程——⑧——根据状态图FSM,写出system_verilog代码

13 篇文章 2 订阅

SOC课程——⑧——system_verilog状态机的例题

题目:
在这里插入图片描述

module

module sv03_fsm(A,B,clk,Reset,Y);
input logic A,B,clk,Reset;
output logic Y;

enum logic[1:0]{S0=2'b00,S1=2'b01,S2=2'b10,S3=2'b11}curr_s,next_s;

always_ff@(posedge clk,negedge Reset)begin
if(Reset == 1'b0)curr_s <= S0;
else curr_s<= next_s;
end

always_comb begin
case(curr_s)
	S0:	if(A^B) next_s<=S1;
		else 	next_s<=S0;
	S1:	if(A&B) next_s<=S2;
		else if(~(A&B)) next_s<=S1;
	S2:	if(A|B) next_s<=S3;
		else if(~(A|B)) next_s<=S0;
	S3:	if(A|B) next_s<=S3;
		else if(~(A|B))next_s<=S0;
endcase
end

always_comb begin
	if(curr_s == S0) Y<=0;
	else if(curr_s == S3)Y<=0;
	else if(curr_s == S1)Y<=1;
	else if(curr_s == S2)Y<=1;
end
endmodule

testbench

module sv03_fsm_tb();
logic A,B;
logic clk=0;
logic Reset;

always #5 clk = ~clk;

sv03_fsm sv03(.A(A),.B(B),
	.clk(clk),.Reset(Reset),.Y(Y));

initial begin
A=0;
B=0;
Reset = 1;
#5 Reset=0;
#8 Reset=1;
end

always #4 A={$random}%2;
always #4 B={$random}%2;

endmodule

仿真结果:

在这里插入图片描述

  • 1
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值