【10】模3检测

模3检测

使用verilog代码,设计电路,判断输入序列能否被三整除,能的时候输出1,不能的时候输出0

分析:本题考察检测输入是否为3的倍数,即,模3检测,也可以变为模n检测器。根据输入序列除3后的余数设置状态,S1:余数为1,S2:余数为2,S3余数为0

  • 状态转移图
1
0
0
1
1
0
0
1
IDLE/0
S1/0
S2/0
S3/1
  • verilog代码
    在这里插入图片描述
    在这里插入图片描述

  • 仿真结果

在这里插入图片描述

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值