边沿检测器(简介及Verilog实现)

边沿检测分上升沿检测和下降沿检测

思路很简单,就是先对信号延迟一拍,再用组合逻辑对原信号和延迟信号判断

上升沿检测 din && ~din_d

下降沿检测 ~din && din_d

 涉及到两个信号延迟一拍,优先考虑是不是边沿检测

module edge_detect(
    input clk ,
    input rst_n ,
    input key_in ,
    output pos_edge ,
    output neg_edge ,
    output data_edge 

);

reg [1:0] data_r ;

always @(posedge clk ,negedge rst_n) begin
    if(!rst_n)begin
        data_r[0] <= 0;
        data_r[1] <= 0;
    end 
        else 
        begin
            data_r[0] <= key_in;
            data_r[1] <= data_r[0];
        end 


end

    assign pos_edge = data_r[0] & ~data_r[1] ;      //上升沿检测
    assign neg_edge = ~data_r[0] & data_r[1] ;      //下降沿检测
    assign data_edge = pos_edge | neg_edge ;        //双边沿检测

    endmodule

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
边沿检测电路的verilog实现可以使用以下方法之一: 1. 上升沿检测方法:可以使用触发器和比较器来实现。当输入信号从低电平变为高电平时,触发器的输出状态会改变,从而检测到上升沿。这个方法可以通过编写verilog代码来描述触发器和比较器的逻辑。 2. 双边沿检测方法:双边沿检测可以检测到信号的上升沿和下降沿。同样可以使用触发器和比较器来实现,当输入信号从低电平变为高电平或从高电平变为低电平时,触发器的输出状态会改变,从而检测到边沿。这个方法也可以通过编写verilog代码来描述触发器和比较器的逻辑。 需要注意的是,边沿检测电路的设计思路是侧重于电路的设计,而不是代码的书写能力。可以根据具体的需求和电路设计原则来选择合适的方法实现边沿检测电路。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [边沿检测(上升沿检测、下降沿检测、双边沿检测-verilog代码-Testbench-RTL电路图-仿真结果)](https://download.csdn.net/download/Loudrs/87855942)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] - *2* [FPGA学习笔记二:输入电路的各种边沿检测(内含Verilog代码)](https://blog.csdn.net/m0_51272018/article/details/113662445)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] - *3* [FPGA基础学习——Verilog实现边沿检测(上升沿下降沿检测)及Modelsim仿真](https://blog.csdn.net/H19981118/article/details/112534176)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值